Parent directory/ | - | - |
mesonlsp-4.3.7-r2.apk | 2.1 MiB | 2025-Mar-12 12:23 |
APKINDEX.tar.gz | 878.0 KiB | 2025-Mar-12 12:23 |
sing-box-bash-completion-1.11.5-r0.apk | 5.1 KiB | 2025-Mar-12 12:13 |
sing-geosite-20250307074130-r0.apk | 1.1 MiB | 2025-Mar-12 12:13 |
sing-geoip-20250312-r0.apk | 2.1 MiB | 2025-Mar-12 12:13 |
sing-box-openrc-1.11.5-r0.apk | 2.0 KiB | 2025-Mar-12 12:13 |
sing-box-fish-completion-1.11.5-r0.apk | 4.3 KiB | 2025-Mar-12 12:13 |
sing-box-1.11.5-r0.apk | 12.1 MiB | 2025-Mar-12 12:13 |
sing-box-zsh-completion-1.11.5-r0.apk | 4.0 KiB | 2025-Mar-12 12:13 |
grpcui-1.4.3-r0.apk | 9.0 MiB | 2025-Mar-12 06:46 |
php81-pecl-grpc-1.71.0-r0.apk | 5.4 MiB | 2025-Mar-12 06:43 |
perl-module-generic-doc-0.38.0-r0.apk | 201.6 KiB | 2025-Mar-12 03:28 |
perl-module-generic-0.38.0-r0.apk | 253.3 KiB | 2025-Mar-12 03:28 |
rio-0.2.9-r0.apk | 10.1 MiB | 2025-Mar-11 20:28 |
rio-terminfo-0.2.9-r0.apk | 3.4 KiB | 2025-Mar-11 20:28 |
zvbi-0.2.44-r0.apk | 170.1 KiB | 2025-Mar-11 20:28 |
rio-doc-0.2.9-r0.apk | 2.2 KiB | 2025-Mar-11 20:28 |
zvbi-doc-0.2.44-r0.apk | 21.0 KiB | 2025-Mar-11 20:28 |
libzvbi-0.2.44-r0.apk | 217.1 KiB | 2025-Mar-11 20:28 |
libzvbi-static-0.2.44-r0.apk | 274.2 KiB | 2025-Mar-11 20:28 |
libzvbi-dev-0.2.44-r0.apk | 14.3 KiB | 2025-Mar-11 20:28 |
refine-0.5.4-r0.apk | 32.8 KiB | 2025-Mar-11 20:28 |
refine-lang-0.5.4-r0.apk | 25.2 KiB | 2025-Mar-11 20:28 |
jotdown-0.7.0-r0.apk | 234.1 KiB | 2025-Mar-11 20:12 |
ttdl-4.10.0-r0.apk | 939.1 KiB | 2025-Mar-11 20:09 |
ttdl-doc-4.10.0-r0.apk | 37.3 KiB | 2025-Mar-11 20:09 |
adwaita-fonts-sans-48.2-r0.apk | 938.2 KiB | 2025-Mar-11 15:20 |
adwaita-fonts-48.2-r0.apk | 1.2 KiB | 2025-Mar-11 15:20 |
adwaita-fonts-mono-48.2-r0.apk | 2.2 MiB | 2025-Mar-11 15:20 |
lomiri-weather-app-lang-6.0.2-r0.apk | 214.0 KiB | 2025-Mar-11 12:02 |
lomiri-weather-app-6.0.2-r0.apk | 303.9 KiB | 2025-Mar-11 12:02 |
lefthook-doc-1.11.3-r0.apk | 2.2 KiB | 2025-Mar-10 22:57 |
tree-sitter-dart-0_git20250228-r0.apk | 98.8 KiB | 2025-Mar-10 22:57 |
lefthook-1.11.3-r0.apk | 5.4 MiB | 2025-Mar-10 22:57 |
tree-sitter-git-rebase-0_git20240722-r0.apk | 5.2 KiB | 2025-Mar-10 22:57 |
turn-rs-3.3.4-r0.apk | 574.5 KiB | 2025-Mar-10 21:28 |
turn-rs-openrc-3.3.4-r0.apk | 1.9 KiB | 2025-Mar-10 21:28 |
turn-rs-doc-3.3.4-r0.apk | 10.8 KiB | 2025-Mar-10 21:28 |
codeberg-cli-zsh-completion-0.4.9-r0.apk | 6.7 KiB | 2025-Mar-10 21:24 |
codeberg-cli-bash-completion-0.4.9-r0.apk | 4.9 KiB | 2025-Mar-10 21:24 |
codeberg-cli-0.4.9-r0.apk | 2.0 MiB | 2025-Mar-10 21:24 |
codeberg-cli-fish-completion-0.4.9-r0.apk | 4.8 KiB | 2025-Mar-10 21:24 |
dnscontrol-doc-4.17.0-r0.apk | 2.2 KiB | 2025-Mar-10 21:21 |
dnscontrol-4.17.0-r0.apk | 15.3 MiB | 2025-Mar-10 21:21 |
prometheus-opnsense-exporter-0.0.7-r0.apk | 5.0 MiB | 2025-Mar-10 21:18 |
prometheus-opnsense-exporter-openrc-0.0.7-r0.apk | 2.0 KiB | 2025-Mar-10 21:18 |
resources-lang-1.7.1-r0.apk | 104.8 KiB | 2025-Mar-10 21:10 |
resources-1.7.1-r0.apk | 2.3 MiB | 2025-Mar-10 21:10 |
ckb-next-daemon-openrc-0.6.1-r0.apk | 1.8 KiB | 2025-Mar-10 18:36 |
ckb-next-daemon-0.6.1-r0.apk | 69.6 KiB | 2025-Mar-10 18:36 |
ckb-next-0.6.1-r0.apk | 1.4 MiB | 2025-Mar-10 18:36 |
ckb-next-dev-0.6.1-r0.apk | 4.9 KiB | 2025-Mar-10 18:36 |
go-passbolt-cli-0.3.2-r0.apk | 6.4 MiB | 2025-Mar-09 22:31 |
hatch-1.14.0-r0.apk | 102.0 KiB | 2025-Mar-09 22:14 |
hatch-pyc-1.14.0-r0.apk | 215.8 KiB | 2025-Mar-09 22:14 |
lomiri-terminal-app-2.0.4-r0.apk | 64.7 KiB | 2025-Mar-09 21:37 |
lomiri-terminal-app-doc-2.0.4-r0.apk | 2.6 KiB | 2025-Mar-09 21:37 |
lomiri-terminal-app-lang-2.0.4-r0.apk | 90.2 KiB | 2025-Mar-09 21:37 |
php81-pecl-xdebug-3.4.2-r0.apk | 143.1 KiB | 2025-Mar-09 18:38 |
py3-recurring-ical-events-pyc-3.6.0-r0.apk | 48.0 KiB | 2025-Mar-09 15:36 |
py3-recurring-ical-events-3.6.0-r0.apk | 50.9 KiB | 2025-Mar-09 15:36 |
nzbget-openrc-24.7-r0.apk | 2.0 KiB | 2025-Mar-09 14:00 |
nzbget-24.7-r0.apk | 5.1 MiB | 2025-Mar-09 14:00 |
aws-lc-1.48.1-r0.apk | 1006.2 KiB | 2025-Mar-09 13:28 |
aws-lc-dev-1.48.1-r0.apk | 410.9 KiB | 2025-Mar-09 13:28 |
aws-lc-tools-1.48.1-r0.apk | 124.5 KiB | 2025-Mar-09 13:28 |
py3-jaraco.vcs-pyc-2.4.1-r0.apk | 16.0 KiB | 2025-Mar-09 08:52 |
py3-jaraco.vcs-2.4.1-r0.apk | 10.2 KiB | 2025-Mar-09 08:52 |
tootik-openrc-0.15.3-r0.apk | 3.1 KiB | 2025-Mar-09 05:46 |
tootik-0.15.3-r0.apk | 4.2 MiB | 2025-Mar-09 05:46 |
cosmic-icons-1.0.0_alpha6-r0.apk | 230.5 KiB | 2025-Mar-09 00:47 |
maddy-openrc-0.8.1-r0.apk | 1.9 KiB | 2025-Mar-08 15:30 |
maddy-vim-0.8.1-r0.apk | 3.5 KiB | 2025-Mar-08 15:30 |
maddy-0.8.1-r0.apk | 10.3 MiB | 2025-Mar-08 15:30 |
maddy-doc-0.8.1-r0.apk | 2.3 KiB | 2025-Mar-08 15:30 |
goshs-1.0.2-r0.apk | 6.0 MiB | 2025-Mar-08 13:29 |
goshs-doc-1.0.2-r0.apk | 2.2 KiB | 2025-Mar-08 13:29 |
cvise-2.11.0-r0.apk | 5.9 MiB | 2025-Mar-08 13:29 |
cvise-pyc-2.11.0-r0.apk | 59.7 KiB | 2025-Mar-08 13:29 |
tree-sitter-ssh-client-config-2025.3.6-r0.apk | 82.0 KiB | 2025-Mar-08 13:29 |
wine-staging-10.3-r0.apk | 68.1 MiB | 2025-Mar-08 13:16 |
wine-staging-dev-10.3-r0.apk | 10.1 MiB | 2025-Mar-08 13:16 |
wine-staging-doc-10.3-r0.apk | 42.5 KiB | 2025-Mar-08 13:16 |
asdf-doc-0.16.5-r0.apk | 2.2 KiB | 2025-Mar-07 06:30 |
asdf-0.16.5-r0.apk | 4.9 MiB | 2025-Mar-07 06:30 |
trivy-0.60.0-r0.apk | 66.8 MiB | 2025-Mar-06 22:29 |
php83-pecl-phpy-1.0.11-r0.apk | 43.6 KiB | 2025-Mar-06 22:29 |
php84-pecl-phpy-1.0.11-r0.apk | 43.7 KiB | 2025-Mar-06 22:29 |
mediastreamer2-plugin-openh264-5.2.0_git2023102..> | 12.4 KiB | 2025-Mar-06 22:29 |
ruff-lsp-0.0.62-r0.apk | 21.3 KiB | 2025-Mar-06 22:29 |
ruff-lsp-pyc-0.0.62-r0.apk | 35.0 KiB | 2025-Mar-06 22:29 |
pari-2.17.2-r0.apk | 583.6 KiB | 2025-Mar-06 05:56 |
pari-libs-2.17.2-r0.apk | 4.4 MiB | 2025-Mar-06 05:56 |
pari-dev-2.17.2-r0.apk | 106.0 KiB | 2025-Mar-06 05:56 |
pari-doc-2.17.2-r0.apk | 923.8 KiB | 2025-Mar-06 05:56 |
stayrtr-openrc-0.6.2-r0.apk | 1.9 KiB | 2025-Mar-05 20:52 |
stayrtr-0.6.2-r0.apk | 11.2 MiB | 2025-Mar-05 20:52 |
nom-2.8.0-r0.apk | 7.3 MiB | 2025-Mar-05 20:16 |
nom-doc-2.8.0-r0.apk | 4.0 KiB | 2025-Mar-05 20:16 |
php81-pecl-protobuf-4.30.0-r0.apk | 146.6 KiB | 2025-Mar-05 18:38 |
ruby-build-20250215-r0.apk | 89.4 KiB | 2025-Mar-05 06:07 |
ruby-build-runtime-20250215-r0.apk | 1.3 KiB | 2025-Mar-05 06:07 |
ruby-build-doc-20250215-r0.apk | 4.7 KiB | 2025-Mar-05 06:07 |
simgear-dev-2024.1.1-r0.apk | 404.1 KiB | 2025-Mar-05 00:14 |
simgear-2024.1.1-r0.apk | 2.4 MiB | 2025-Mar-05 00:14 |
unit-php81-1.34.2-r0.apk | 30.8 KiB | 2025-Mar-04 22:44 |
s5cmd-2.3.0-r0.apk | 5.3 MiB | 2025-Mar-04 21:02 |
anubis-1.10.0_git20250303-r0.apk | 5.4 MiB | 2025-Mar-04 21:01 |
vim-nerdtree-7.1.3-r0.apk | 66.6 KiB | 2025-Mar-04 21:00 |
tenv-4.2.4-r0.apk | 9.8 MiB | 2025-Mar-04 20:57 |
tenv-zsh-completion-4.2.4-r0.apk | 4.0 KiB | 2025-Mar-04 20:57 |
tenv-fish-completion-4.2.4-r0.apk | 4.3 KiB | 2025-Mar-04 20:57 |
tenv-bash-completion-4.2.4-r0.apk | 5.0 KiB | 2025-Mar-04 20:57 |
stockfish-17-r0.apk | 61.8 MiB | 2025-Mar-03 22:41 |
cargo-expand-doc-1.0.101-r0.apk | 5.7 KiB | 2025-Mar-03 22:38 |
cargo-expand-1.0.101-r0.apk | 2.3 MiB | 2025-Mar-03 22:38 |
libuecc-dev-7-r4.apk | 4.6 KiB | 2025-Mar-03 16:06 |
libuecc-7-r4.apk | 9.9 KiB | 2025-Mar-03 16:06 |
glow-zsh-completion-2.1.0-r0.apk | 4.0 KiB | 2025-Mar-03 16:00 |
glow-fish-completion-2.1.0-r0.apk | 4.3 KiB | 2025-Mar-03 16:00 |
glow-2.1.0-r0.apk | 6.2 MiB | 2025-Mar-03 16:00 |
glow-doc-2.1.0-r0.apk | 3.1 KiB | 2025-Mar-03 16:00 |
glow-bash-completion-2.1.0-r0.apk | 6.0 KiB | 2025-Mar-03 16:00 |
katana-doc-1.1.2-r0.apk | 2.2 KiB | 2025-Mar-03 06:39 |
katana-1.1.2-r0.apk | 12.1 MiB | 2025-Mar-03 06:39 |
yices2-dev-2.6.5-r0.apk | 41.4 KiB | 2025-Mar-02 23:05 |
yices2-2.6.5-r0.apk | 2.3 MiB | 2025-Mar-02 23:05 |
yices2-libs-2.6.5-r0.apk | 880.3 KiB | 2025-Mar-02 23:05 |
xwayland-satellite-0.5.1-r0.apk | 831.8 KiB | 2025-Mar-02 23:01 |
xml2rfc-pyc-3.28.0-r0.apk | 407.5 KiB | 2025-Mar-02 22:59 |
xml2rfc-3.28.0-r0.apk | 351.7 KiB | 2025-Mar-02 22:59 |
py3-dep-logic-pyc-0.4.11-r0.apk | 53.1 KiB | 2025-Mar-02 22:58 |
py3-dep-logic-0.4.11-r0.apk | 27.8 KiB | 2025-Mar-02 22:58 |
yazi-cli-25.3.2-r0.apk | 1017.0 KiB | 2025-Mar-02 17:59 |
yazi-bash-completion-25.3.2-r0.apk | 2.0 KiB | 2025-Mar-02 17:59 |
yazi-zsh-completion-25.3.2-r0.apk | 2.1 KiB | 2025-Mar-02 17:59 |
yazi-25.3.2-r0.apk | 4.6 MiB | 2025-Mar-02 17:59 |
yazi-doc-25.3.2-r0.apk | 2.2 KiB | 2025-Mar-02 17:59 |
yazi-fish-completion-25.3.2-r0.apk | 1.8 KiB | 2025-Mar-02 17:59 |
walk-1.13.0-r0.apk | 3.1 MiB | 2025-Mar-02 16:56 |
walk-doc-1.13.0-r0.apk | 2.2 KiB | 2025-Mar-02 16:56 |
gamescope-3.16.2-r0.apk | 1.2 MiB | 2025-Mar-02 16:47 |
yarn-berry-4.7.0-r0.apk | 970.4 KiB | 2025-Mar-02 16:45 |
gost-2.12.0-r3.apk | 5.8 MiB | 2025-Mar-02 16:14 |
gost-doc-2.12.0-r3.apk | 2.2 KiB | 2025-Mar-02 16:14 |
cargo-crev-0.26.3-r0.apk | 6.2 MiB | 2025-Mar-02 16:14 |
spotify-player-0.20.4-r0.apk | 4.3 MiB | 2025-Mar-02 15:56 |
srb2-data-2.2.15-r0.apk | 159.6 MiB | 2025-Mar-02 15:48 |
srb2-2.2.15-r0.apk | 1.8 MiB | 2025-Mar-02 15:48 |
tuned-pyc-2.25.1-r0.apk | 332.6 KiB | 2025-Mar-02 15:42 |
tuned-openrc-2.25.1-r0.apk | 1.6 KiB | 2025-Mar-02 15:42 |
tuned-bash-completion-2.25.1-r0.apk | 1.9 KiB | 2025-Mar-02 15:42 |
tuned-ppd-openrc-2.25.1-r0.apk | 1.7 KiB | 2025-Mar-02 15:42 |
tuned-profiles-2.25.1-r0.apk | 8.1 KiB | 2025-Mar-02 15:42 |
tuned-ppd-2.25.1-r0.apk | 3.6 KiB | 2025-Mar-02 15:42 |
tuned-utils-2.25.1-r0.apk | 10.5 KiB | 2025-Mar-02 15:42 |
tuned-doc-2.25.1-r0.apk | 78.6 KiB | 2025-Mar-02 15:42 |
tuned-gtk-2.25.1-r0.apk | 21.9 KiB | 2025-Mar-02 15:42 |
tuned-profiles-compat-2.25.1-r0.apk | 3.5 KiB | 2025-Mar-02 15:42 |
tuned-2.25.1-r0.apk | 159.4 KiB | 2025-Mar-02 15:42 |
spacectl-bash-completion-1.9.0-r0.apk | 2.0 KiB | 2025-Mar-02 15:39 |
spacectl-zsh-completion-1.9.0-r0.apk | 1.7 KiB | 2025-Mar-02 15:39 |
spacectl-1.9.0-r0.apk | 5.5 MiB | 2025-Mar-02 15:39 |
spacectl-fish-completion-1.9.0-r0.apk | 6.7 KiB | 2025-Mar-02 15:39 |
spacectl-doc-1.9.0-r0.apk | 2.2 KiB | 2025-Mar-02 15:39 |
py3-dt-schema-pyc-2025.02-r0.apk | 50.8 KiB | 2025-Mar-02 15:25 |
py3-dt-schema-2025.02-r0.apk | 80.2 KiB | 2025-Mar-02 15:25 |
mimedefang-3.6-r0.apk | 155.0 KiB | 2025-Mar-02 12:16 |
mimedefang-doc-3.6-r0.apk | 80.4 KiB | 2025-Mar-02 12:16 |
prometheus-podman-exporter-1.15.0-r0.apk | 15.9 MiB | 2025-Mar-02 12:14 |
megatools-doc-1.11.3.20250203-r0.apk | 52.1 KiB | 2025-Mar-02 11:54 |
megatools-bash-completion-1.11.3.20250203-r0.apk | 4.0 KiB | 2025-Mar-02 11:54 |
megatools-1.11.3.20250203-r0.apk | 63.6 KiB | 2025-Mar-02 11:54 |
mir-2.15.0-r6.apk | 1.8 MiB | 2025-Mar-02 08:32 |
mir-test-tools-2.15.0-r6.apk | 218.6 KiB | 2025-Mar-02 08:32 |
mir-demos-2.15.0-r6.apk | 121.9 KiB | 2025-Mar-02 08:32 |
mir-dev-2.15.0-r6.apk | 4.2 MiB | 2025-Mar-02 08:32 |
lomiri-location-service-lang-3.3.0-r2.apk | 25.7 KiB | 2025-Mar-02 08:32 |
lomiri-location-service-3.3.0-r2.apk | 2.2 MiB | 2025-Mar-02 08:32 |
lomiri-location-service-dev-3.3.0-r2.apk | 29.8 KiB | 2025-Mar-02 08:32 |
lomiri-location-service-doc-3.3.0-r2.apk | 2.8 KiB | 2025-Mar-02 08:32 |
gdb-dashboard-0.17.4-r0.apk | 22.7 KiB | 2025-Mar-01 21:38 |
gdb-dashboard-doc-0.17.4-r0.apk | 3.0 KiB | 2025-Mar-01 21:38 |
lbb-doc-0.9.1-r0.apk | 18.5 KiB | 2025-Mar-01 19:42 |
lbb-0.9.1-r0.apk | 3.3 MiB | 2025-Mar-01 19:42 |
php81-pecl-mongodb-1.21.0-r0.apk | 840.6 KiB | 2025-Feb-28 21:53 |
pypykatz-pyc-0.6.11-r0.apk | 721.0 KiB | 2025-Feb-28 21:50 |
pypykatz-0.6.11-r0.apk | 315.3 KiB | 2025-Feb-28 21:50 |
pimsync-0.3.0-r0.apk | 1.0 MiB | 2025-Feb-28 14:40 |
pimsync-doc-0.3.0-r0.apk | 13.8 KiB | 2025-Feb-28 14:40 |
spacer-doc-0.3.8-r0.apk | 3.0 KiB | 2025-Feb-28 06:10 |
spacer-0.3.8-r0.apk | 936.3 KiB | 2025-Feb-28 06:10 |
py3-hishel-0.1.1-r0.apk | 32.7 KiB | 2025-Feb-27 22:30 |
py3-hishel-pyc-0.1.1-r0.apk | 72.3 KiB | 2025-Feb-27 22:30 |
lomiri-trust-store-dev-2.0.2-r8.apk | 9.1 KiB | 2025-Feb-27 21:26 |
lomiri-trust-store-2.0.2-r8.apk | 1.0 MiB | 2025-Feb-27 21:26 |
lomiri-trust-store-lang-2.0.2-r8.apk | 27.6 KiB | 2025-Feb-27 21:26 |
wayfire-doc-0.9.0-r0.apk | 3.6 KiB | 2025-Feb-27 20:18 |
wf-shell-dev-0.9.0-r0.apk | 1.7 KiB | 2025-Feb-27 20:18 |
wcm-0.9.0-r0.apk | 372.4 KiB | 2025-Feb-27 20:18 |
wf-config-dev-0.9.0-r0.apk | 16.3 KiB | 2025-Feb-27 20:18 |
wf-shell-doc-0.9.0-r0.apk | 3.1 KiB | 2025-Feb-27 20:18 |
wayfire-0.9.0-r0.apk | 2.7 MiB | 2025-Feb-27 20:18 |
wayfire-plugins-extra-0.9.0-r0.apk | 591.8 KiB | 2025-Feb-27 20:18 |
wf-config-0.9.0-r0.apk | 120.2 KiB | 2025-Feb-27 20:18 |
wf-shell-0.9.0-r0.apk | 6.1 MiB | 2025-Feb-27 20:18 |
wayfire-dev-0.9.0-r0.apk | 129.7 KiB | 2025-Feb-27 20:18 |
serie-doc-0.4.4-r0.apk | 6.5 KiB | 2025-Feb-27 18:27 |
serie-0.4.4-r0.apk | 932.5 KiB | 2025-Feb-27 18:27 |
neocmakelsp-fish-completion-0.8.19-r0.apk | 2.2 KiB | 2025-Feb-27 18:25 |
neocmakelsp-doc-0.8.19-r0.apk | 5.5 KiB | 2025-Feb-27 18:25 |
neocmakelsp-bash-completion-0.8.19-r0.apk | 2.3 KiB | 2025-Feb-27 18:25 |
neocmakelsp-0.8.19-r0.apk | 1.7 MiB | 2025-Feb-27 18:25 |
neocmakelsp-zsh-completion-0.8.19-r0.apk | 2.5 KiB | 2025-Feb-27 18:25 |
oils-for-unix-doc-0.27.0-r0.apk | 6.9 KiB | 2025-Feb-27 17:09 |
oils-for-unix-bash-0.27.0-r0.apk | 1.5 KiB | 2025-Feb-27 17:09 |
oils-for-unix-0.27.0-r0.apk | 647.9 KiB | 2025-Feb-27 17:09 |
oils-for-unix-binsh-0.27.0-r0.apk | 1.5 KiB | 2025-Feb-27 17:09 |
youtube-tui-0.8.1-r0.apk | 1.4 MiB | 2025-Feb-27 12:29 |
tree-sitter-git-commit-0_git20211225-r3.apk | 12.8 KiB | 2025-Feb-26 17:29 |
tree-sitter-c-sharp-0.23.1-r1.apk | 344.7 KiB | 2025-Feb-26 17:29 |
sqlmap-1.9.2-r0.apk | 6.8 MiB | 2025-Feb-26 06:27 |
sqlmap-pyc-1.9.2-r0.apk | 1.2 MiB | 2025-Feb-26 06:27 |
libsimpleble-0.8.1-r0.apk | 190.6 KiB | 2025-Feb-25 22:20 |
simpleble-0.8.1-r0.apk | 1.2 KiB | 2025-Feb-25 22:20 |
simpleble-dev-0.8.1-r0.apk | 23.7 KiB | 2025-Feb-25 22:20 |
libsimplebluez-0.8.1-r0.apk | 137.7 KiB | 2025-Feb-25 22:20 |
libsimpleble-c-0.8.1-r0.apk | 16.3 KiB | 2025-Feb-25 22:20 |
py3-beartype-0.20.0-r0.apk | 889.6 KiB | 2025-Feb-25 21:04 |
py3-beartype-pyc-0.20.0-r0.apk | 649.7 KiB | 2025-Feb-25 21:04 |
php84-pecl-csv-0.4.3-r0.apk | 9.9 KiB | 2025-Feb-25 15:45 |
php81-pecl-csv-0.4.3-r0.apk | 9.8 KiB | 2025-Feb-25 15:45 |
belr-dev-5.3.105-r0.apk | 14.5 KiB | 2025-Feb-25 12:52 |
belr-5.3.105-r0.apk | 117.2 KiB | 2025-Feb-25 12:52 |
belle-sip-dev-5.3.105-r0.apk | 53.9 KiB | 2025-Feb-25 12:51 |
belle-sip-5.3.105-r0.apk | 639.3 KiB | 2025-Feb-25 12:51 |
belcard-libs-5.3.105-r0.apk | 221.7 KiB | 2025-Feb-25 12:48 |
belcard-dev-5.3.105-r0.apk | 11.5 KiB | 2025-Feb-25 12:48 |
belcard-5.3.105-r0.apk | 11.5 KiB | 2025-Feb-25 12:48 |
baresip-3.20.0-r0.apk | 1.1 MiB | 2025-Feb-25 12:48 |
baresip-dev-3.20.0-r0.apk | 15.8 KiB | 2025-Feb-25 12:48 |
xa-doc-2.4.1-r0.apk | 17.2 KiB | 2025-Feb-25 12:36 |
xa-2.4.1-r0.apk | 72.9 KiB | 2025-Feb-25 12:36 |
libre-dev-3.20.0-r0.apk | 467.4 KiB | 2025-Feb-25 12:18 |
libre-3.20.0-r0.apk | 297.8 KiB | 2025-Feb-25 12:18 |
fileshelter-openrc-6.2.0-r3.apk | 1.6 KiB | 2025-Feb-25 06:36 |
fileshelter-6.2.0-r3.apk | 341.3 KiB | 2025-Feb-25 06:36 |
barman-3.13.0-r0.apk | 345.4 KiB | 2025-Feb-25 06:30 |
barman-doc-3.13.0-r0.apk | 80.2 KiB | 2025-Feb-25 06:30 |
barman-pyc-3.13.0-r0.apk | 550.3 KiB | 2025-Feb-25 06:30 |
barman-bash-completion-3.13.0-r0.apk | 1.6 KiB | 2025-Feb-25 06:30 |
py3-apsw-pyc-3.49.1.0-r0.apk | 526.3 KiB | 2025-Feb-25 06:29 |
py3-apsw-3.49.1.0-r0.apk | 822.5 KiB | 2025-Feb-25 06:29 |
opentelemetry-cpp-exporter-otlp-grpc-1.19.0-r0.apk | 57.5 KiB | 2025-Feb-24 21:39 |
opentelemetry-cpp-1.19.0-r0.apk | 618.6 KiB | 2025-Feb-24 21:39 |
opentelemetry-cpp-exporter-otlp-common-1.19.0-r..> | 45.5 KiB | 2025-Feb-24 21:39 |
opentelemetry-cpp-exporter-zipkin-1.19.0-r0.apk | 50.5 KiB | 2025-Feb-24 21:39 |
opentelemetry-cpp-exporter-otlp-http-1.19.0-r0.apk | 88.9 KiB | 2025-Feb-24 21:39 |
opentelemetry-cpp-dev-1.19.0-r0.apk | 492.1 KiB | 2025-Feb-24 21:39 |
shntool-doc-3.0.10-r5.apk | 10.3 KiB | 2025-Feb-24 21:15 |
vice-doc-3.9-r0.apk | 2.2 MiB | 2025-Feb-24 21:15 |
vice-3.9-r0.apk | 13.2 MiB | 2025-Feb-24 21:15 |
ecasound-2.9.3-r4.apk | 729.3 KiB | 2025-Feb-24 21:15 |
shntool-3.0.10-r5.apk | 56.1 KiB | 2025-Feb-24 21:15 |
ecasound-doc-2.9.3-r4.apk | 38.5 KiB | 2025-Feb-24 21:15 |
ecasound-dev-2.9.3-r4.apk | 1.1 MiB | 2025-Feb-24 21:15 |
py3-msldap-0.5.14-r0.apk | 140.5 KiB | 2025-Feb-24 21:15 |
py3-msldap-pyc-0.5.14-r0.apk | 323.5 KiB | 2025-Feb-24 21:15 |
cargo-update-doc-16.1.0-r1.apk | 8.2 KiB | 2025-Feb-24 15:10 |
porla-0.41.0-r2.apk | 3.7 MiB | 2025-Feb-24 15:10 |
porla-doc-0.41.0-r2.apk | 2.2 KiB | 2025-Feb-24 15:10 |
cargo-update-16.1.0-r1.apk | 1.1 MiB | 2025-Feb-24 15:10 |
porla-openrc-0.41.0-r2.apk | 2.7 KiB | 2025-Feb-24 15:10 |
cargo-udeps-doc-0.1.55-r1.apk | 7.4 KiB | 2025-Feb-24 15:10 |
cargo-generate-0.22.1-r0.apk | 2.3 MiB | 2025-Feb-24 15:10 |
cargo-udeps-0.1.55-r1.apk | 5.0 MiB | 2025-Feb-24 15:10 |
apk-tools3-static-3.0.0_rc4_git20250121-r0.apk | 2.0 MiB | 2025-Feb-24 12:01 |
lua5.3-apk3-3.0.0_rc4_git20250121-r0.apk | 6.2 KiB | 2025-Feb-24 12:01 |
libapk3-3.0.0_rc4_git20250121-r0.apk | 129.0 KiB | 2025-Feb-24 12:01 |
py3-apk3-3.0.0_rc4_git20250121-r0.apk | 4.2 KiB | 2025-Feb-24 12:01 |
apk-tools3-doc-3.0.0_rc4_git20250121-r0.apk | 40.3 KiB | 2025-Feb-24 12:01 |
apk-tools3-dbg-3.0.0_rc4_git20250121-r0.apk | 568.9 KiB | 2025-Feb-24 12:01 |
apk-tools3-3.0.0_rc4_git20250121-r0.apk | 54.1 KiB | 2025-Feb-24 12:01 |
apk-tools3-dev-3.0.0_rc4_git20250121-r0.apk | 22.8 KiB | 2025-Feb-24 12:01 |
gnome-user-share-47.2-r0.apk | 14.2 KiB | 2025-Feb-23 22:36 |
gnome-user-share-lang-47.2-r0.apk | 66.2 KiB | 2025-Feb-23 22:36 |
waycheck-1.6.0-r0.apk | 45.0 KiB | 2025-Feb-23 22:28 |
dissent-0.0.32-r2.apk | 16.3 MiB | 2025-Feb-23 22:28 |
repo-doc-2.52-r0.apk | 38.5 KiB | 2025-Feb-23 20:11 |
repo-2.52-r0.apk | 16.8 KiB | 2025-Feb-23 20:11 |
git-cola-pyc-4.12.0-r0.apk | 774.0 KiB | 2025-Feb-23 20:02 |
git-cola-doc-4.12.0-r0.apk | 5.8 KiB | 2025-Feb-23 20:02 |
git-cola-4.12.0-r0.apk | 861.8 KiB | 2025-Feb-23 20:02 |
heisenbridge-1.15.0-r0.apk | 66.9 KiB | 2025-Feb-23 01:58 |
heisenbridge-pyc-1.15.0-r0.apk | 154.4 KiB | 2025-Feb-23 01:58 |
rdrview-0.1.3-r0.apk | 30.9 KiB | 2025-Feb-22 19:31 |
rdrview-doc-0.1.3-r0.apk | 3.7 KiB | 2025-Feb-22 19:31 |
azpainter-3.0.11-r0.apk | 895.2 KiB | 2025-Feb-22 11:10 |
azpainter-doc-3.0.11-r0.apk | 41.9 KiB | 2025-Feb-22 11:10 |
kirc-0.3.3-r0.apk | 15.0 KiB | 2025-Feb-22 11:09 |
kirc-doc-0.3.3-r0.apk | 2.8 KiB | 2025-Feb-22 11:09 |
ueberzug-pyc-18.3.1-r0.apk | 63.8 KiB | 2025-Feb-22 11:09 |
ueberzug-18.3.1-r0.apk | 64.6 KiB | 2025-Feb-22 11:09 |
py3-marshmallow-3.26.1-r0.apk | 47.5 KiB | 2025-Feb-21 22:40 |
py3-marshmallow-pyc-3.26.1-r0.apk | 84.9 KiB | 2025-Feb-21 22:40 |
manifest-tool-2.1.9-r0.apk | 3.8 MiB | 2025-Feb-21 22:22 |
pinentry-bemenu-0.14.0-r0.apk | 8.6 KiB | 2025-Feb-21 19:35 |
gst-rtsp-server-1.24.12-r0.apk | 247.1 KiB | 2025-Feb-21 17:10 |
gst-rtsp-server-dev-1.24.12-r0.apk | 93.4 KiB | 2025-Feb-21 17:10 |
ajv-cli-6.0.0-r0.apk | 157.7 KiB | 2025-Feb-20 22:45 |
ajv-cli-doc-6.0.0-r0.apk | 2.2 KiB | 2025-Feb-20 22:45 |
adguardhome-0.107.57-r0.apk | 10.7 MiB | 2025-Feb-20 22:30 |
adguardhome-openrc-0.107.57-r0.apk | 2.1 KiB | 2025-Feb-20 22:30 |
solarus-quest-editor-1.7.0-r1.apk | 55.5 MiB | 2025-Feb-20 18:40 |
solarus-engine-1.7.0-r1.apk | 2.2 MiB | 2025-Feb-20 18:40 |
sauerbraten-2020.12.29-r4.apk | 934.0 MiB | 2025-Feb-20 18:40 |
solarus-engine-doc-1.7.0-r1.apk | 3.3 KiB | 2025-Feb-20 18:40 |
bochs-2.8-r1.apk | 943.7 KiB | 2025-Feb-20 18:40 |
bochs-doc-2.8-r1.apk | 139.0 KiB | 2025-Feb-20 18:40 |
py3-pysonic-pyc-1.0.2-r0.apk | 32.0 KiB | 2025-Feb-19 22:46 |
py3-pysonic-1.0.2-r0.apk | 34.5 KiB | 2025-Feb-19 22:46 |
telegram-bot-api-8.3-r0.apk | 7.3 MiB | 2025-Feb-18 22:39 |
laze-doc-0.1.31-r0.apk | 3.5 KiB | 2025-Feb-18 22:39 |
laze-bash-completion-0.1.31-r0.apk | 3.1 KiB | 2025-Feb-18 22:39 |
laze-fish-completion-0.1.31-r0.apk | 3.4 KiB | 2025-Feb-18 22:39 |
laze-0.1.31-r0.apk | 1.1 MiB | 2025-Feb-18 22:39 |
laze-zsh-completion-0.1.31-r0.apk | 3.6 KiB | 2025-Feb-18 22:39 |
telegram-tdlib-static-1.8.45-r0.apk | 18.8 MiB | 2025-Feb-18 22:24 |
telegram-tdlib-dev-1.8.45-r0.apk | 178.3 KiB | 2025-Feb-18 22:24 |
telegram-tdlib-1.8.45-r0.apk | 7.3 MiB | 2025-Feb-18 22:24 |
mediastreamer2-5.3.100-r0.apk | 387.0 KiB | 2025-Feb-18 10:35 |
mediastreamer2-dev-5.3.100-r0.apk | 109.6 KiB | 2025-Feb-18 10:35 |
mediastreamer2-doc-5.3.100-r0.apk | 107.6 KiB | 2025-Feb-18 10:35 |
perl-sys-virt-11.0.0-r0.apk | 206.0 KiB | 2025-Feb-18 07:27 |
perl-sys-virt-doc-11.0.0-r0.apk | 98.7 KiB | 2025-Feb-18 07:27 |
cava-0.10.4-r1.apk | 42.3 KiB | 2025-Feb-17 22:17 |
w_scan2-doc-1.0.16-r0.apk | 4.1 KiB | 2025-Feb-17 09:35 |
w_scan2-1.0.16-r0.apk | 131.6 KiB | 2025-Feb-17 09:35 |
xandikos-openrc-0.2.12-r2.apk | 2.1 KiB | 2025-Feb-17 09:34 |
py3-pytest-regtest-pyc-2.3.2-r1.apk | 30.0 KiB | 2025-Feb-17 09:34 |
xandikos-pyc-0.2.12-r2.apk | 157.1 KiB | 2025-Feb-17 09:34 |
repowerd-openrc-2023.07-r3.apk | 1.7 KiB | 2025-Feb-17 09:34 |
moosefs-cgiserv-openrc-4.56.6-r0.apk | 1.9 KiB | 2025-Feb-17 09:34 |
moosefs-master-openrc-4.56.6-r0.apk | 1.6 KiB | 2025-Feb-17 09:34 |
ptyxis-doc-47.10-r0.apk | 2.9 KiB | 2025-Feb-17 09:34 |
openvpn3-3.8.5-r1.apk | 412.8 KiB | 2025-Feb-17 09:34 |
systemd-boot-257.3-r0.apk | 50.7 KiB | 2025-Feb-17 09:34 |
persistent-cache-cpp-dev-1.0.7-r4.apk | 17.8 KiB | 2025-Feb-17 09:34 |
moosefs-doc-4.56.6-r0.apk | 95.1 KiB | 2025-Feb-17 09:34 |
xandikos-0.2.12-r2.apk | 80.2 KiB | 2025-Feb-17 09:34 |
moosefs-cgiserv-4.56.6-r0.apk | 7.8 KiB | 2025-Feb-17 09:34 |
persistent-cache-cpp-doc-1.0.7-r4.apk | 3.1 KiB | 2025-Feb-17 09:34 |
persistent-cache-cpp-1.0.7-r4.apk | 50.9 KiB | 2025-Feb-17 09:34 |
qtmir-0.7.2-r3.apk | 558.1 KiB | 2025-Feb-17 09:34 |
moosefs-master-4.56.6-r0.apk | 365.1 KiB | 2025-Feb-17 09:34 |
py3-lsp-mypy-pyc-0.7.0-r0.apk | 12.7 KiB | 2025-Feb-17 09:34 |
ptyxis-47.10-r0.apk | 257.7 KiB | 2025-Feb-17 09:34 |
py3-lsp-mypy-0.7.0-r0.apk | 12.6 KiB | 2025-Feb-17 09:34 |
sipexer-1.2.0-r0.apk | 2.7 MiB | 2025-Feb-17 09:34 |
py3-pymsteams-0.2.5-r0.apk | 12.4 KiB | 2025-Feb-17 09:34 |
moosefs-chunkserver-openrc-4.56.6-r0.apk | 1.6 KiB | 2025-Feb-17 09:34 |
py3-telegram-bot-pyc-21.10-r0.apk | 723.7 KiB | 2025-Feb-17 09:34 |
moosefs-metalogger-4.56.6-r0.apk | 42.2 KiB | 2025-Feb-17 09:34 |
qtmir-dev-0.7.2-r3.apk | 6.5 KiB | 2025-Feb-17 09:34 |
xandikos-doc-0.2.12-r2.apk | 2.4 KiB | 2025-Feb-17 09:34 |
systemd-efistub-257.3-r0.apk | 87.3 KiB | 2025-Feb-17 09:34 |
py3-pytest-regtest-2.3.2-r1.apk | 15.8 KiB | 2025-Feb-17 09:34 |
py3-gnucash-5.10-r1.apk | 299.6 KiB | 2025-Feb-17 09:34 |
moosefs-cgi-4.56.6-r0.apk | 121.1 KiB | 2025-Feb-17 09:34 |
py3-pymsteams-pyc-0.2.5-r0.apk | 6.5 KiB | 2025-Feb-17 09:34 |
moosefs-chunkserver-4.56.6-r0.apk | 188.6 KiB | 2025-Feb-17 09:34 |
repowerd-2023.07-r3.apk | 918.3 KiB | 2025-Feb-17 09:34 |
moosefs-client-4.56.6-r0.apk | 632.4 KiB | 2025-Feb-17 09:34 |
moosefs-4.56.6-r0.apk | 254.0 KiB | 2025-Feb-17 09:34 |
openvpn3-dev-3.8.5-r1.apk | 667.0 KiB | 2025-Feb-17 09:34 |
moosefs-static-4.56.6-r0.apk | 618.5 KiB | 2025-Feb-17 09:34 |
py3-telegram-bot-21.10-r0.apk | 454.3 KiB | 2025-Feb-17 09:34 |
ptyxis-lang-47.10-r0.apk | 239.6 KiB | 2025-Feb-17 09:34 |
moosefs-metalogger-openrc-4.56.6-r0.apk | 1.6 KiB | 2025-Feb-17 09:34 |
ukify-257.3-r0.apk | 21.2 KiB | 2025-Feb-17 09:34 |
lomiri-content-hub-doc-2.0.0-r1.apk | 1.4 MiB | 2025-Feb-17 09:34 |
gnucash-5.10-r1.apk | 8.3 MiB | 2025-Feb-17 09:34 |
lomiri-thumbnailer-dev-3.0.4-r2.apk | 5.0 KiB | 2025-Feb-17 09:34 |
lizardfs-cgiserv-3.13.0-r15.apk | 7.3 KiB | 2025-Feb-17 09:34 |
lizardfs-chunkserver-3.13.0-r15.apk | 381.8 KiB | 2025-Feb-17 09:34 |
lomiri-libusermetrics-1.3.3-r1.apk | 180.5 KiB | 2025-Feb-17 09:34 |
lizardfs-master-openrc-3.13.0-r15.apk | 1.6 KiB | 2025-Feb-17 09:34 |
lomiri-thumbnailer-3.0.4-r2.apk | 242.2 KiB | 2025-Feb-17 09:34 |
lizardfs-3.13.0-r15.apk | 148.9 KiB | 2025-Feb-17 09:34 |
lomiri-indicator-network-1.1.0-r1.apk | 659.2 KiB | 2025-Feb-17 09:34 |
lizardfs-doc-3.13.0-r15.apk | 11.4 KiB | 2025-Feb-17 09:34 |
lomiri-indicator-network-doc-1.1.0-r1.apk | 2.0 KiB | 2025-Feb-17 09:34 |
lomiri-indicator-network-lang-1.1.0-r1.apk | 190.2 KiB | 2025-Feb-17 09:34 |
gnucash-doc-5.10-r1.apk | 1.4 MiB | 2025-Feb-17 09:34 |
lomiri-url-dispatcher-0.1.3-r3.apk | 37.9 KiB | 2025-Feb-17 09:34 |
lomiri-content-hub-lang-2.0.0-r1.apk | 39.2 KiB | 2025-Feb-17 09:34 |
lomiri-content-hub-2.0.0-r1.apk | 299.5 KiB | 2025-Feb-17 09:34 |
gnucash-lang-5.10-r1.apk | 8.2 MiB | 2025-Feb-17 09:34 |
freecad-doc-1.0.0-r2.apk | 12.9 KiB | 2025-Feb-17 09:34 |
lomiri-content-hub-dev-2.0.0-r1.apk | 10.9 KiB | 2025-Feb-17 09:34 |
melange-fish-completion-0.20.0-r0.apk | 4.3 KiB | 2025-Feb-17 09:34 |
lomiri-indicator-location-0_git20231227-r1.apk | 30.0 KiB | 2025-Feb-17 09:34 |
mediascanner2-0.115-r2.apk | 277.7 KiB | 2025-Feb-17 09:34 |
kubeseal-0.28.0-r0.apk | 11.7 MiB | 2025-Feb-17 09:34 |
gmenuharness-0.1.4-r2.apk | 40.5 KiB | 2025-Feb-17 09:34 |
lizardfs-metalogger-openrc-3.13.0-r15.apk | 1.6 KiB | 2025-Feb-17 09:34 |
melange-zsh-completion-0.20.0-r0.apk | 4.0 KiB | 2025-Feb-17 09:34 |
melange-bash-completion-0.20.0-r0.apk | 6.7 KiB | 2025-Feb-17 09:34 |
lizardfs-client-3.13.0-r15.apk | 1.3 MiB | 2025-Feb-17 09:34 |
lomiri-libusermetrics-dev-1.3.3-r1.apk | 7.8 KiB | 2025-Feb-17 09:34 |
gnucash-dev-5.10-r1.apk | 302.2 KiB | 2025-Feb-17 09:34 |
lomiri-download-manager-lang-0.1.3-r5.apk | 27.0 KiB | 2025-Feb-17 09:34 |
litehtml-static-0.9-r2.apk | 511.2 KiB | 2025-Feb-17 09:34 |
lomiri-libusermetrics-lang-1.3.3-r1.apk | 43.2 KiB | 2025-Feb-17 09:34 |
lomiri-indicator-location-lang-0_git20231227-r1..> | 29.0 KiB | 2025-Feb-17 09:34 |
gstreamermm-dev-1.10.0-r6.apk | 309.7 KiB | 2025-Feb-17 09:34 |
litehtml-0.9-r2.apk | 337.8 KiB | 2025-Feb-17 09:34 |
log4cxx-dev-1.1.0-r3.apk | 135.2 KiB | 2025-Feb-17 09:34 |
lomiri-api-0.2.2-r1.apk | 36.0 KiB | 2025-Feb-17 09:34 |
freecad-dev-1.0.0-r2.apk | 706.2 KiB | 2025-Feb-17 09:34 |
gmenuharness-dev-0.1.4-r2.apk | 4.1 KiB | 2025-Feb-17 09:34 |
lomiri-url-dispatcher-lang-0.1.3-r3.apk | 17.9 KiB | 2025-Feb-17 09:34 |
lomiri-url-dispatcher-dev-0.1.3-r3.apk | 3.3 KiB | 2025-Feb-17 09:34 |
lomiri-download-manager-dev-0.1.3-r5.apk | 16.8 KiB | 2025-Feb-17 09:34 |
lizardfs-metalogger-3.13.0-r15.apk | 169.1 KiB | 2025-Feb-17 09:34 |
lomiri-app-launch-0.1.11-r1.apk | 353.5 KiB | 2025-Feb-17 09:34 |
lomiri-download-manager-doc-0.1.3-r5.apk | 3.4 MiB | 2025-Feb-17 09:34 |
melange-0.20.0-r0.apk | 16.1 MiB | 2025-Feb-17 09:34 |
log4cxx-1.1.0-r3.apk | 556.1 KiB | 2025-Feb-17 09:34 |
lizardfs-master-3.13.0-r15.apk | 996.0 KiB | 2025-Feb-17 09:34 |
lomiri-api-dev-0.2.2-r1.apk | 32.1 KiB | 2025-Feb-17 09:34 |
lizardfs-bash-completion-3.13.0-r15.apk | 1.8 KiB | 2025-Feb-17 09:34 |
lomiri-app-launch-dev-0.1.11-r1.apk | 20.0 KiB | 2025-Feb-17 09:34 |
lomiri-libusermetrics-doc-1.3.3-r1.apk | 227.6 KiB | 2025-Feb-17 09:34 |
lizardfs-cgi-3.13.0-r15.apk | 31.4 KiB | 2025-Feb-17 09:34 |
lizardfs-chunkserver-openrc-3.13.0-r15.apk | 1.6 KiB | 2025-Feb-17 09:34 |
lomiri-indicator-network-dev-1.1.0-r1.apk | 9.5 KiB | 2025-Feb-17 09:34 |
lizardfs-cgiserv-openrc-3.13.0-r15.apk | 1.9 KiB | 2025-Feb-17 09:34 |
lomiri-thumbnailer-doc-3.0.4-r2.apk | 1.5 KiB | 2025-Feb-17 09:34 |
libqtdbustest-0.3.3-r1.apk | 33.6 KiB | 2025-Feb-17 09:34 |
freecad-1.0.0-r2.apk | 107.1 MiB | 2025-Feb-17 09:34 |
litehtml-dev-0.9-r2.apk | 41.6 KiB | 2025-Feb-17 09:34 |
gstreamermm-1.10.0-r6.apk | 511.1 KiB | 2025-Feb-17 09:34 |
kubeseal-doc-0.28.0-r0.apk | 5.5 KiB | 2025-Feb-17 09:34 |
lomiri-download-manager-0.1.3-r5.apk | 640.7 KiB | 2025-Feb-17 09:34 |
libqtdbusmock-0.9.1-r2.apk | 73.7 KiB | 2025-Feb-17 09:34 |
crowdsec-slack-plugin-1.6.5-r0.apk | 8.5 MiB | 2025-Feb-17 09:34 |
flann-dev-1.9.2-r1.apk | 951.4 KiB | 2025-Feb-17 09:34 |
flann-doc-1.9.2-r1.apk | 2.5 KiB | 2025-Feb-17 09:34 |
draco-dev-1.5.7-r2.apk | 204.7 KiB | 2025-Feb-17 09:34 |
draco-tools-1.5.7-r2.apk | 1.3 MiB | 2025-Feb-17 09:34 |
fheroes2-lang-1.1.6-r0.apk | 1.7 MiB | 2025-Feb-17 09:34 |
fluent-bit-dev-3.1.10-r1.apk | 114.7 KiB | 2025-Feb-17 09:34 |
crowdsec-splunk-plugin-1.6.5-r0.apk | 8.4 MiB | 2025-Feb-17 09:34 |
draco-static-1.5.7-r2.apk | 1.4 MiB | 2025-Feb-17 09:34 |
fluent-bit-3.1.10-r1.apk | 5.7 MiB | 2025-Feb-17 09:34 |
fheroes2-1.1.6-r0.apk | 1.7 MiB | 2025-Feb-17 09:34 |
fluent-bit-openrc-3.1.10-r1.apk | 1.6 KiB | 2025-Feb-17 09:34 |
flann-1.9.2-r1.apk | 1.7 MiB | 2025-Feb-17 09:34 |
draco-1.5.7-r2.apk | 892.9 KiB | 2025-Feb-17 09:34 |
dhewm3-1.5.4-r0.apk | 5.0 MiB | 2025-Feb-17 09:34 |
compiz-lang-0.9.14.2-r8.apk | 1.2 MiB | 2025-Feb-17 09:34 |
crowdsec-email-plugin-1.6.5-r0.apk | 8.5 MiB | 2025-Feb-17 09:34 |
crowdsec-openrc-1.6.5-r0.apk | 1.7 KiB | 2025-Feb-17 09:34 |
compiz-pyc-0.9.14.2-r8.apk | 111.5 KiB | 2025-Feb-17 09:34 |
compiz-0.9.14.2-r8.apk | 6.3 MiB | 2025-Feb-17 09:34 |
crowdsec-http-plugin-1.6.5-r0.apk | 8.4 MiB | 2025-Feb-17 09:34 |
crowdsec-sentinel-plugin-1.6.5-r0.apk | 8.4 MiB | 2025-Feb-17 09:34 |
compiz-utils-0.9.14.2-r8.apk | 3.3 KiB | 2025-Feb-17 09:34 |
compiz-dev-0.9.14.2-r8.apk | 117.1 KiB | 2025-Feb-17 09:34 |
crowdsec-1.6.5-r0.apk | 35.5 MiB | 2025-Feb-17 09:34 |
click-0.5.2-r4.apk | 159.6 KiB | 2025-Feb-17 09:34 |
click-dev-0.5.2-r4.apk | 9.1 KiB | 2025-Feb-17 09:34 |
cherrytree-doc-1.2.0-r4.apk | 2.1 KiB | 2025-Feb-17 09:34 |
cherrytree-lang-1.2.0-r4.apk | 845.6 KiB | 2025-Feb-17 09:34 |
click-doc-0.5.2-r4.apk | 3.3 KiB | 2025-Feb-17 09:34 |
cherrytree-1.2.0-r4.apk | 2.6 MiB | 2025-Feb-17 09:34 |
barrier-doc-2.4.0-r2.apk | 13.0 KiB | 2025-Feb-17 09:34 |
clementine-1.4.1_git20250121-r1.apk | 6.5 MiB | 2025-Feb-17 09:34 |
click-pyc-0.5.2-r4.apk | 174.8 KiB | 2025-Feb-17 09:34 |
barrier-2.4.0-r2.apk | 1013.1 KiB | 2025-Feb-17 09:34 |
biometryd-0.3.1-r7.apk | 326.5 KiB | 2025-Feb-17 09:34 |
biometryd-dev-0.3.1-r7.apk | 13.3 KiB | 2025-Feb-17 09:34 |
libxml++-dev-5.4.0-r0.apk | 29.4 KiB | 2025-Feb-13 07:47 |
libxml++-5.4.0-r0.apk | 69.7 KiB | 2025-Feb-13 07:47 |
openwsman-dev-2.8.1-r0.apk | 55.7 KiB | 2025-Feb-13 07:46 |
openwsman-2.8.1-r0.apk | 47.1 KiB | 2025-Feb-13 07:46 |
openwsman-libs-2.8.1-r0.apk | 323.0 KiB | 2025-Feb-13 07:46 |
openwsman-doc-2.8.1-r0.apk | 2.3 KiB | 2025-Feb-13 07:46 |
volatility3-2.11.0-r0.apk | 562.5 KiB | 2025-Feb-13 07:45 |
volatility3-pyc-2.11.0-r0.apk | 1.0 MiB | 2025-Feb-13 07:45 |
volatility3-doc-2.11.0-r0.apk | 3.1 KiB | 2025-Feb-13 07:45 |
py3-netmiko-4.5.0-r0.apk | 179.2 KiB | 2025-Feb-13 07:33 |
py3-netmiko-pyc-4.5.0-r0.apk | 348.0 KiB | 2025-Feb-13 07:33 |
snapper-0.12.1-r0.apk | 1.0 MiB | 2025-Feb-13 07:32 |
snapper-doc-0.12.1-r0.apk | 24.9 KiB | 2025-Feb-13 07:32 |
snapper-dev-0.12.1-r0.apk | 10.3 KiB | 2025-Feb-13 07:32 |
snapper-zsh-completion-0.12.1-r0.apk | 3.5 KiB | 2025-Feb-13 07:32 |
snapper-lang-0.12.1-r0.apk | 196.3 KiB | 2025-Feb-13 07:32 |
snapper-bash-completion-0.12.1-r0.apk | 3.0 KiB | 2025-Feb-13 07:32 |
envconsul-0.13.3-r0.apk | 4.8 MiB | 2025-Feb-13 07:27 |
rsstail-doc-2.2-r0.apk | 2.8 KiB | 2025-Feb-13 07:17 |
rsstail-2.2-r0.apk | 8.8 KiB | 2025-Feb-13 07:17 |
muon-doc-0.4.0-r0.apk | 73.4 KiB | 2025-Feb-12 14:42 |
abuild-muon-0.4.0-r0.apk | 1.8 KiB | 2025-Feb-12 14:42 |
muon-0.4.0-r0.apk | 322.9 KiB | 2025-Feb-12 14:42 |
muon-wrap-0.4.0-r0.apk | 324.6 KiB | 2025-Feb-12 14:42 |
66-dev-0.8.0.2-r0.apk | 412.3 KiB | 2025-Feb-11 22:17 |
66-init-0.8.0.2-r0.apk | 1.5 KiB | 2025-Feb-11 22:17 |
66-doc-0.8.0.2-r0.apk | 167.8 KiB | 2025-Feb-11 22:17 |
66-0.8.0.2-r0.apk | 259.2 KiB | 2025-Feb-11 22:17 |
hw-probe-1.6.6-r0.apk | 123.8 KiB | 2025-Feb-11 09:30 |
dropwatch-1.5.4-r7.apk | 16.0 KiB | 2025-Feb-10 23:18 |
dropwatch-doc-1.5.4-r7.apk | 3.6 KiB | 2025-Feb-10 23:18 |
kimchi-3.0.0-r8.apk | 528.9 KiB | 2025-Feb-10 22:47 |
kimchi-pyc-3.0.0-r8.apk | 476.1 KiB | 2025-Feb-10 22:47 |
kimchi-lang-3.0.0-r8.apk | 171.9 KiB | 2025-Feb-10 22:47 |
qtile-pyc-0.30.0-r0.apk | 837.4 KiB | 2025-Feb-10 21:36 |
qtile-0.30.0-r0.apk | 435.8 KiB | 2025-Feb-10 21:36 |
komikku-1.69.0-r0.apk | 1.0 MiB | 2025-Feb-10 15:14 |
komikku-lang-1.69.0-r0.apk | 260.2 KiB | 2025-Feb-10 15:14 |
komikku-pyc-1.69.0-r0.apk | 737.0 KiB | 2025-Feb-10 15:14 |
zrepl-openrc-0.6.1-r8.apk | 1.7 KiB | 2025-Feb-10 06:06 |
zrepl-0.6.1-r8.apk | 6.7 MiB | 2025-Feb-10 06:06 |
zrepl-zsh-completion-0.6.1-r8.apk | 1.9 KiB | 2025-Feb-10 06:06 |
zrepl-bash-completion-0.6.1-r8.apk | 4.4 KiB | 2025-Feb-10 06:06 |
wireguard-go-0.0.20230223-r9.apk | 1.2 MiB | 2025-Feb-10 06:06 |
yamlfmt-doc-0.15.0-r2.apk | 5.5 KiB | 2025-Feb-10 06:06 |
wl-gammarelay-0.1.1-r11.apk | 1.6 MiB | 2025-Feb-10 06:06 |
wtfutil-0.43.0-r10.apk | 20.2 MiB | 2025-Feb-10 06:06 |
wireguard-go-doc-0.0.20230223-r9.apk | 3.5 KiB | 2025-Feb-10 06:06 |
yamlfmt-0.15.0-r2.apk | 1.7 MiB | 2025-Feb-10 06:06 |
yubikey-agent-0.1.6-r9.apk | 1.8 MiB | 2025-Feb-10 06:06 |
xmpp-dns-0.2.4-r21.apk | 1.8 MiB | 2025-Feb-10 06:06 |
webhookd-openrc-1.20.1-r1.apk | 2.2 KiB | 2025-Feb-10 06:06 |
virtctl-zsh-completion-1.4.0-r2.apk | 4.0 KiB | 2025-Feb-10 06:06 |
webhookd-1.20.1-r1.apk | 3.1 MiB | 2025-Feb-10 06:06 |
wgcf-2.2.24-r2.apk | 4.0 MiB | 2025-Feb-10 06:06 |
virter-doc-0.28.1-r2.apk | 14.8 KiB | 2025-Feb-10 06:06 |
virter-bash-completion-0.28.1-r2.apk | 5.0 KiB | 2025-Feb-10 06:06 |
virtctl-1.4.0-r2.apk | 16.2 MiB | 2025-Feb-10 06:06 |
webhookd-doc-1.20.1-r1.apk | 2.2 KiB | 2025-Feb-10 06:06 |
virter-zsh-completion-0.28.1-r2.apk | 4.0 KiB | 2025-Feb-10 06:06 |
wgcf-bash-completion-2.2.24-r2.apk | 5.0 KiB | 2025-Feb-10 06:06 |
wgcf-fish-completion-2.2.24-r2.apk | 4.3 KiB | 2025-Feb-10 06:06 |
virtctl-bash-completion-1.4.0-r2.apk | 5.1 KiB | 2025-Feb-10 06:06 |
virtctl-fish-completion-1.4.0-r2.apk | 4.3 KiB | 2025-Feb-10 06:06 |
virter-fish-completion-0.28.1-r2.apk | 4.3 KiB | 2025-Feb-10 06:06 |
virter-0.28.1-r2.apk | 5.8 MiB | 2025-Feb-10 06:06 |
wgcf-zsh-completion-2.2.24-r2.apk | 4.0 KiB | 2025-Feb-10 06:06 |
tty-proxy-0.0.2-r25.apk | 2.5 MiB | 2025-Feb-10 06:06 |
upterm-server-0.14.3-r2.apk | 5.8 MiB | 2025-Feb-10 06:06 |
upterm-zsh-completion-0.14.3-r2.apk | 4.0 KiB | 2025-Feb-10 06:06 |
undock-0.9.0-r2.apk | 10.2 MiB | 2025-Feb-10 06:06 |
up-0.4-r26.apk | 1.1 MiB | 2025-Feb-10 06:06 |
upterm-bash-completion-0.14.3-r2.apk | 5.5 KiB | 2025-Feb-10 06:06 |
upterm-0.14.3-r2.apk | 5.9 MiB | 2025-Feb-10 06:06 |
upterm-server-openrc-0.14.3-r2.apk | 1.8 KiB | 2025-Feb-10 06:06 |
tty-share-2.4.0-r15.apk | 3.6 MiB | 2025-Feb-10 06:06 |
vals-0.39.0-r1.apk | 29.4 MiB | 2025-Feb-10 06:06 |
upterm-doc-0.14.3-r2.apk | 6.3 KiB | 2025-Feb-10 06:06 |
transito-0.9.1-r2.apk | 8.4 MiB | 2025-Feb-10 06:06 |
transito-doc-0.9.1-r2.apk | 754.9 KiB | 2025-Feb-10 06:06 |
tonutils-reverse-proxy-0.3.3-r2.apk | 2.8 MiB | 2025-Feb-10 06:06 |
tonutils-reverse-proxy-doc-0.3.3-r2.apk | 2.3 KiB | 2025-Feb-10 06:06 |
tangctl-0_git20241007-r1.apk | 2.5 MiB | 2025-Feb-10 06:06 |
timoni-fish-completion-0.23.0-r2.apk | 4.3 KiB | 2025-Feb-10 06:06 |
tmpl-doc-0.4.0-r8.apk | 2.2 KiB | 2025-Feb-10 06:06 |
tanka-0.31.2-r1.apk | 4.3 MiB | 2025-Feb-10 06:06 |
timoni-bash-completion-0.23.0-r2.apk | 7.9 KiB | 2025-Feb-10 06:06 |
ticker-fish-completion-4.8.0-r1.apk | 3.8 KiB | 2025-Feb-10 06:06 |
taskcafe-0.3.6-r10.apk | 13.7 MiB | 2025-Feb-10 06:06 |
tfupdate-doc-0.8.2-r3.apk | 2.2 KiB | 2025-Feb-10 06:06 |
timoni-zsh-completion-0.23.0-r2.apk | 4.0 KiB | 2025-Feb-10 06:06 |
templ-0.3.833-r1.apk | 4.7 MiB | 2025-Feb-10 06:06 |
taskcafe-openrc-0.3.6-r10.apk | 1.8 KiB | 2025-Feb-10 06:06 |
timoni-doc-0.23.0-r2.apk | 337.8 KiB | 2025-Feb-10 06:06 |
timoni-0.23.0-r2.apk | 24.3 MiB | 2025-Feb-10 06:06 |
tfupdate-0.8.2-r3.apk | 4.8 MiB | 2025-Feb-10 06:06 |
ticker-bash-completion-4.8.0-r1.apk | 4.5 KiB | 2025-Feb-10 06:06 |
tmpl-0.4.0-r8.apk | 2.6 MiB | 2025-Feb-10 06:06 |
ticker-4.8.0-r1.apk | 4.0 MiB | 2025-Feb-10 06:06 |
ticker-zsh-completion-4.8.0-r1.apk | 3.7 KiB | 2025-Feb-10 06:06 |
stern-zsh-completion-1.32.0-r1.apk | 4.0 KiB | 2025-Feb-10 06:06 |
speedtest-go-1.1.5-r12.apk | 5.4 MiB | 2025-Feb-10 06:06 |
stern-bash-completion-1.32.0-r1.apk | 5.8 KiB | 2025-Feb-10 06:06 |
snippets-ls-0.0.4_git20240617-r2.apk | 1.4 MiB | 2025-Feb-10 06:06 |
sish-openrc-2.16.1-r2.apk | 1.9 KiB | 2025-Feb-10 06:06 |
stern-1.32.0-r1.apk | 18.7 MiB | 2025-Feb-10 06:06 |
sos-0.8-r28.apk | 2.6 MiB | 2025-Feb-10 06:06 |
ssh-cert-authority-2.0.0-r23.apk | 4.9 MiB | 2025-Feb-10 06:06 |
speedtest-go-doc-1.1.5-r12.apk | 4.4 KiB | 2025-Feb-10 06:06 |
sshsrv-1.0-r9.apk | 994.5 KiB | 2025-Feb-10 06:06 |
speedtest-go-openrc-1.1.5-r12.apk | 1.7 KiB | 2025-Feb-10 06:06 |
sish-2.16.1-r2.apk | 8.0 MiB | 2025-Feb-10 06:06 |
stern-fish-completion-1.32.0-r1.apk | 4.3 KiB | 2025-Feb-10 06:06 |
speedtest_exporter-0.3.2-r12.apk | 3.8 MiB | 2025-Feb-10 06:06 |
speedtest_exporter-openrc-0.3.2-r12.apk | 1.8 KiB | 2025-Feb-10 06:06 |
rke-1.4.3-r12.apk | 20.7 MiB | 2025-Feb-10 06:06 |
secsipidx-libs-1.3.2-r9.apk | 2.4 MiB | 2025-Feb-10 06:06 |
satellite-doc-1.0.0-r25.apk | 3.0 KiB | 2025-Feb-10 06:06 |
seaweedfs-3.80-r2.apk | 24.2 MiB | 2025-Feb-10 06:06 |
ruuvi-prometheus-0.1.7-r7.apk | 3.6 MiB | 2025-Feb-10 06:06 |
secsipidx-1.3.2-r9.apk | 2.6 MiB | 2025-Feb-10 06:06 |
satellite-1.0.0-r25.apk | 2.1 MiB | 2025-Feb-10 06:06 |
ruuvi-prometheus-openrc-0.1.7-r7.apk | 1.6 KiB | 2025-Feb-10 06:06 |
seaweedfs-doc-3.80-r2.apk | 13.6 KiB | 2025-Feb-10 06:06 |
scalingo-1.30.0-r7.apk | 5.3 MiB | 2025-Feb-10 06:06 |
satellite-openrc-1.0.0-r25.apk | 1.8 KiB | 2025-Feb-10 06:06 |
rke-doc-1.4.3-r12.apk | 2.9 KiB | 2025-Feb-10 06:06 |
secsipidx-dev-1.3.2-r9.apk | 4.8 MiB | 2025-Feb-10 06:06 |
seaweedfs-openrc-3.80-r2.apk | 1.8 KiB | 2025-Feb-10 06:06 |
pyonji-0.1.0-r2.apk | 2.8 MiB | 2025-Feb-10 06:06 |
prometheus-unbound-exporter-0.4.6-r2.apk | 3.6 MiB | 2025-Feb-10 06:06 |
regal-bash-completion-0.29.2-r2.apk | 5.0 KiB | 2025-Feb-10 06:06 |
reaction-1.4.1-r3.apk | 2.1 MiB | 2025-Feb-10 06:06 |
reaction-tools-1.4.1-r3.apk | 27.3 KiB | 2025-Feb-10 06:06 |
prometheus-smokeping-prober-0.7.1-r9.apk | 4.5 MiB | 2025-Feb-10 06:06 |
protoconf-0.1.7-r10.apk | 7.6 MiB | 2025-Feb-10 06:06 |
rcon-cli-1.6.2-r8.apk | 2.6 MiB | 2025-Feb-10 06:06 |
regclient-0.7.1-r2.apk | 13.0 MiB | 2025-Feb-10 06:06 |
py3-truststore-0.10.1-r0.apk | 17.5 KiB | 2025-Feb-10 06:06 |
qbittorrent-cli-2.1.0-r2.apk | 5.6 MiB | 2025-Feb-10 06:06 |
regal-0.29.2-r2.apk | 10.0 MiB | 2025-Feb-10 06:06 |
protoc-gen-go-1.36.4-r1.apk | 2.0 MiB | 2025-Feb-10 06:06 |
reg-0.16.1-r25.apk | 4.5 MiB | 2025-Feb-10 06:06 |
reaction-openrc-1.4.1-r3.apk | 1.8 KiB | 2025-Feb-10 06:06 |
regal-fish-completion-0.29.2-r2.apk | 4.3 KiB | 2025-Feb-10 06:06 |
prometheus-unbound-exporter-openrc-0.4.6-r2.apk | 1.9 KiB | 2025-Feb-10 06:06 |
prometheus-smokeping-prober-openrc-0.7.1-r9.apk | 1.9 KiB | 2025-Feb-10 06:06 |
py3-truststore-pyc-0.10.1-r0.apk | 25.5 KiB | 2025-Feb-10 06:06 |
regal-zsh-completion-0.29.2-r2.apk | 4.0 KiB | 2025-Feb-10 06:06 |
prometheus-bind-exporter-0.7.0-r8.apk | 4.6 MiB | 2025-Feb-10 06:06 |
prometheus-rethinkdb-exporter-1.0.1-r25.apk | 4.1 MiB | 2025-Feb-10 06:06 |
prometheus-ipmi-exporter-1.8.0-r2.apk | 4.2 MiB | 2025-Feb-10 06:06 |
prometheus-ipmi-exporter-openrc-1.8.0-r2.apk | 1.9 KiB | 2025-Feb-10 06:06 |
prometheus-smartctl-exporter-0.13.0-r2.apk | 4.5 MiB | 2025-Feb-10 06:06 |
prometheus-bind-exporter-openrc-0.7.0-r8.apk | 1.8 KiB | 2025-Feb-10 06:06 |
popeye-0.22.1-r1.apk | 29.1 MiB | 2025-Feb-10 06:06 |
pomo-0.8.1-r20.apk | 1.6 MiB | 2025-Feb-10 06:06 |
prometheus-ipmi-exporter-doc-1.8.0-r2.apk | 6.5 KiB | 2025-Feb-10 06:06 |
prometheus-rethinkdb-exporter-openrc-1.0.1-r25.apk | 1.6 KiB | 2025-Feb-10 06:06 |
prometheus-smartctl-exporter-openrc-0.13.0-r2.apk | 1.8 KiB | 2025-Feb-10 06:06 |
pomo-doc-0.8.1-r20.apk | 2.7 KiB | 2025-Feb-10 06:06 |
mautrix-twitter-openrc-0.2.1-r2.apk | 1.9 KiB | 2025-Feb-10 06:06 |
mqtt2prometheus-0.1.7-r13.apk | 4.4 MiB | 2025-Feb-10 06:06 |
opcr-policy-0.3.0-r1.apk | 8.8 MiB | 2025-Feb-10 06:06 |
msh-openrc-2.5.0-r9.apk | 1.9 KiB | 2025-Feb-10 06:06 |
mkcert-1.4.4-r16.apk | 1.7 MiB | 2025-Feb-10 06:06 |
pdfcpu-0.9.1-r2.apk | 4.7 MiB | 2025-Feb-10 06:06 |
nwg-bar-0.1.6-r7.apk | 1.6 MiB | 2025-Feb-10 06:06 |
nwg-dock-0.3.9-r8.apk | 1.7 MiB | 2025-Feb-10 06:06 |
nsq-1.3.0-r7.apk | 24.7 MiB | 2025-Feb-10 06:06 |
oauth2-proxy-7.6.0-r8.apk | 8.0 MiB | 2025-Feb-10 06:06 |
msh-2.5.0-r9.apk | 2.8 MiB | 2025-Feb-10 06:06 |
oauth2-proxy-openrc-7.6.0-r8.apk | 2.1 KiB | 2025-Feb-10 06:06 |
mautrix-twitter-doc-0.2.1-r2.apk | 13.1 KiB | 2025-Feb-10 06:06 |
mautrix-twitter-0.2.1-r2.apk | 6.5 MiB | 2025-Feb-10 06:06 |
lxd-feature-scripts-5.20-r8.apk | 2.1 KiB | 2025-Feb-10 06:05 |
mangal-zsh-completion-4.0.6-r15.apk | 3.9 KiB | 2025-Feb-10 06:05 |
mangal-4.0.6-r15.apk | 10.4 MiB | 2025-Feb-10 06:05 |
mage-1.13.0-r20.apk | 1.6 MiB | 2025-Feb-10 06:05 |
mailsec-check-0_git20210729-r23.apk | 2.5 MiB | 2025-Feb-10 06:05 |
lxd-feature-5.20-r8.apk | 70.2 MiB | 2025-Feb-10 06:05 |
mautrix-discord-doc-0.7.2-r2.apk | 13.1 KiB | 2025-Feb-10 06:05 |
mangal-bash-completion-4.0.6-r15.apk | 4.9 KiB | 2025-Feb-10 06:05 |
lxd-feature-bash-completion-5.20-r8.apk | 5.1 KiB | 2025-Feb-10 06:05 |
maildir2rss-0.0.7-r2.apk | 3.4 MiB | 2025-Feb-10 06:05 |
mangal-fish-completion-4.0.6-r15.apk | 3.9 KiB | 2025-Feb-10 06:05 |
mautrix-bluesky-doc-0.1.0-r2.apk | 13.1 KiB | 2025-Feb-10 06:05 |
mautrix-discord-0.7.2-r2.apk | 6.5 MiB | 2025-Feb-10 06:05 |
makeclapman-2.4.4-r2.apk | 1.3 MiB | 2025-Feb-10 06:05 |
lxd-feature-openrc-5.20-r8.apk | 2.4 KiB | 2025-Feb-10 06:05 |
mautrix-bluesky-0.1.0-r2.apk | 8.6 MiB | 2025-Feb-10 06:05 |
mautrix-discord-openrc-0.7.2-r2.apk | 1.9 KiB | 2025-Feb-10 06:05 |
lxd-feature-doc-5.20-r8.apk | 1.6 KiB | 2025-Feb-10 06:05 |
mautrix-bluesky-openrc-0.1.0-r2.apk | 1.9 KiB | 2025-Feb-10 06:05 |
makeclapman-doc-2.4.4-r2.apk | 4.1 KiB | 2025-Feb-10 06:05 |
linkquisition-1.6.1-r2.apk | 12.3 MiB | 2025-Feb-10 06:05 |
kubeone-1.9.2-r1.apk | 27.5 MiB | 2025-Feb-10 06:05 |
kubeone-zsh-completion-1.9.2-r1.apk | 4.0 KiB | 2025-Feb-10 06:05 |
legume-1.4.2-r6.apk | 1.5 MiB | 2025-Feb-10 06:05 |
kubeone-doc-1.9.2-r1.apk | 20.1 KiB | 2025-Feb-10 06:05 |
kubepug-1.7.1-r7.apk | 16.9 MiB | 2025-Feb-10 06:05 |
legume-doc-1.4.2-r6.apk | 12.3 KiB | 2025-Feb-10 06:05 |
kubepug-bash-completion-1.7.1-r7.apk | 5.1 KiB | 2025-Feb-10 06:05 |
kubepug-fish-completion-1.7.1-r7.apk | 4.3 KiB | 2025-Feb-10 06:05 |
kubeone-bash-completion-1.9.2-r1.apk | 6.6 KiB | 2025-Feb-10 06:05 |
kubepug-zsh-completion-1.7.1-r7.apk | 4.0 KiB | 2025-Feb-10 06:05 |
kubectl-oidc_login-1.32.2-r1.apk | 5.5 MiB | 2025-Feb-10 06:05 |
kube-no-trouble-0.7.3-r2.apk | 14.1 MiB | 2025-Feb-10 06:05 |
knative-client-fish-completion-1.17.0-r1.apk | 4.2 KiB | 2025-Feb-10 06:05 |
kine-0.10.1-r10.apk | 8.0 MiB | 2025-Feb-10 06:05 |
ko-bash-completion-0.17.1-r2.apk | 5.0 KiB | 2025-Feb-10 06:05 |
knative-client-bash-completion-1.17.0-r1.apk | 10.1 KiB | 2025-Feb-10 06:05 |
knative-client-zsh-completion-1.17.0-r1.apk | 4.0 KiB | 2025-Feb-10 06:05 |
kubeconform-0.6.6-r4.apk | 3.3 MiB | 2025-Feb-10 06:05 |
kompose-1.31.2-r7.apk | 7.4 MiB | 2025-Feb-10 06:05 |
kompose-fish-completion-1.31.2-r7.apk | 4.3 KiB | 2025-Feb-10 06:05 |
kompose-bash-completion-1.31.2-r7.apk | 5.5 KiB | 2025-Feb-10 06:05 |
ko-0.17.1-r2.apk | 10.5 MiB | 2025-Feb-10 06:05 |
kompose-zsh-completion-1.31.2-r7.apk | 6.7 KiB | 2025-Feb-10 06:05 |
kine-doc-0.10.1-r10.apk | 5.1 KiB | 2025-Feb-10 06:05 |
kubectl-krew-0.4.4-r9.apk | 4.5 MiB | 2025-Feb-10 06:05 |
ko-fish-completion-0.17.1-r2.apk | 4.2 KiB | 2025-Feb-10 06:05 |
knative-client-1.17.0-r1.apk | 24.1 MiB | 2025-Feb-10 06:05 |
ko-zsh-completion-0.17.1-r2.apk | 4.0 KiB | 2025-Feb-10 06:05 |
keybase-client-6.2.8-r7.apk | 18.5 MiB | 2025-Feb-10 06:05 |
kanister-tools-zsh-completion-0.112.0-r2.apk | 4.3 KiB | 2025-Feb-10 06:05 |
kanister-tools-0.112.0-r2.apk | 63.4 MiB | 2025-Feb-10 06:05 |
kanister-tools-bash-completion-0.112.0-r2.apk | 5.5 KiB | 2025-Feb-10 06:05 |
kanister-tools-fish-completion-0.112.0-r2.apk | 4.8 KiB | 2025-Feb-10 06:05 |
khinsider-2.0.7-r17.apk | 3.4 MiB | 2025-Feb-10 06:05 |
kapow-0.7.1-r10.apk | 3.5 MiB | 2025-Feb-10 06:05 |
k3sup-bash-completion-0.13.6-r2.apk | 5.0 KiB | 2025-Feb-10 06:05 |
jsonnet-bundler-0.6.0-r2.apk | 3.2 MiB | 2025-Feb-10 06:05 |
k3sup-zsh-completion-0.13.6-r2.apk | 3.9 KiB | 2025-Feb-10 06:05 |
k3sup-fish-completion-0.13.6-r2.apk | 4.2 KiB | 2025-Feb-10 06:05 |
itd-1.1.0-r10.apk | 9.1 MiB | 2025-Feb-10 06:05 |
jackal-openrc-0.64.0-r12.apk | 1.8 KiB | 2025-Feb-10 06:05 |
k3sup-0.13.6-r2.apk | 2.6 MiB | 2025-Feb-10 06:05 |
jsonnet-language-server-0.15.0-r1.apk | 4.1 MiB | 2025-Feb-10 06:05 |
jfrog-cli-2.45.0-r9.apk | 9.3 MiB | 2025-Feb-10 06:05 |
jackal-0.64.0-r12.apk | 11.6 MiB | 2025-Feb-10 06:05 |
ircdog-0.5.4-r2.apk | 2.3 MiB | 2025-Feb-10 06:05 |
helmfile-0.170.1-r1.apk | 48.1 MiB | 2025-Feb-10 06:05 |
hubble-cli-bash-completion-0.13.6-r2.apk | 5.0 KiB | 2025-Feb-10 06:05 |
imgdiff-1.0.2-r23.apk | 1012.3 KiB | 2025-Feb-10 06:05 |
hubble-cli-fish-completion-0.13.6-r2.apk | 4.3 KiB | 2025-Feb-10 06:05 |
helmfile-doc-0.170.1-r1.apk | 2.2 KiB | 2025-Feb-10 06:05 |
imgdiff-doc-1.0.2-r23.apk | 2.2 KiB | 2025-Feb-10 06:05 |
hub-doc-2.14.2-r28.apk | 42.0 KiB | 2025-Feb-10 06:05 |
hub-fish-completion-2.14.2-r28.apk | 3.2 KiB | 2025-Feb-10 06:05 |
hub-2.14.2-r28.apk | 2.7 MiB | 2025-Feb-10 06:05 |
helmfile-fish-completion-0.170.1-r1.apk | 4.3 KiB | 2025-Feb-10 06:05 |
httpx-doc-1.6.10-r1.apk | 2.2 KiB | 2025-Feb-10 06:05 |
invidtui-0.4.6-r2.apk | 3.9 MiB | 2025-Feb-10 06:05 |
helmfile-zsh-completion-0.170.1-r1.apk | 4.0 KiB | 2025-Feb-10 06:05 |
hilbish-2.3.4-r2.apk | 3.4 MiB | 2025-Feb-10 06:05 |
ijq-doc-1.1.0-r5.apk | 3.5 KiB | 2025-Feb-10 06:05 |
hilbish-doc-2.3.4-r2.apk | 24.9 KiB | 2025-Feb-10 06:05 |
hub-bash-completion-2.14.2-r28.apk | 4.5 KiB | 2025-Feb-10 06:05 |
httpx-1.6.10-r1.apk | 12.7 MiB | 2025-Feb-10 06:05 |
ijq-1.1.0-r5.apk | 1.4 MiB | 2025-Feb-10 06:05 |
hubble-cli-zsh-completion-0.13.6-r2.apk | 4.0 KiB | 2025-Feb-10 06:05 |
hub-zsh-completion-2.14.2-r28.apk | 3.6 KiB | 2025-Feb-10 06:05 |
hubble-cli-0.13.6-r2.apk | 18.7 MiB | 2025-Feb-10 06:05 |
helmfile-bash-completion-0.170.1-r1.apk | 5.1 KiB | 2025-Feb-10 06:05 |
helm-ls-0.0.12-r6.apk | 11.7 MiB | 2025-Feb-10 06:05 |
helm-mapkubeapis-0.5.2-r2.apk | 20.6 MiB | 2025-Feb-10 06:05 |
helm-unittest-0.7.1-r2.apk | 11.8 MiB | 2025-Feb-10 06:05 |
helm-ls-doc-0.0.12-r6.apk | 2.2 KiB | 2025-Feb-10 06:05 |
gx-go-1.9.0-r29.apk | 4.9 MiB | 2025-Feb-10 06:05 |
helm-diff-3.9.13-r2.apk | 22.2 MiB | 2025-Feb-10 06:05 |
gx-doc-0.14.3-r27.apk | 2.2 KiB | 2025-Feb-10 06:05 |
gx-0.14.3-r27.apk | 4.6 MiB | 2025-Feb-10 06:05 |
gron-0.7.1-r21.apk | 2.5 MiB | 2025-Feb-10 06:05 |
gx-go-doc-1.9.0-r29.apk | 2.2 KiB | 2025-Feb-10 06:05 |
grpcurl-1.9.2-r2.apk | 8.2 MiB | 2025-Feb-10 06:05 |
gotestsum-1.12.0-r4.apk | 2.4 MiB | 2025-Feb-10 06:05 |
gotify-openrc-2.5.0-r3.apk | 1.9 KiB | 2025-Feb-10 06:05 |
goreman-0.3.15-r10.apk | 2.1 MiB | 2025-Feb-10 06:05 |
gotify-2.5.0-r3.apk | 9.8 MiB | 2025-Feb-10 06:05 |
gortr-openrc-0.14.8-r10.apk | 1.9 KiB | 2025-Feb-10 06:05 |
gortr-0.14.8-r10.apk | 10.1 MiB | 2025-Feb-10 06:05 |
gotify-cli-2.3.2-r2.apk | 4.2 MiB | 2025-Feb-10 06:05 |
gosu-1.17-r7.apk | 1.1 MiB | 2025-Feb-10 06:05 |
gobuster-3.6.0-r9.apk | 3.4 MiB | 2025-Feb-10 06:05 |
gomp-1.0.0-r9.apk | 3.5 MiB | 2025-Feb-10 06:05 |
ghq-fish-completion-1.7.1-r2.apk | 2.4 KiB | 2025-Feb-10 06:05 |
go-jsonnet-0.20.0-r11.apk | 6.2 MiB | 2025-Feb-10 06:05 |
fathom-1.3.1-r10.apk | 4.7 MiB | 2025-Feb-10 06:05 |
go-mtpfs-1.0.0-r24.apk | 1.2 MiB | 2025-Feb-10 06:05 |
fq-0.13.0-r2.apk | 4.6 MiB | 2025-Feb-10 06:05 |
filebrowser-2.27.0-r8.apk | 7.4 MiB | 2025-Feb-10 06:05 |
goawk-doc-1.29.1-r2.apk | 43.8 KiB | 2025-Feb-10 06:05 |
gb-0.4.4-r28.apk | 6.9 MiB | 2025-Feb-10 06:05 |
git-bug-fish-completion-0.8.0-r16.apk | 3.9 KiB | 2025-Feb-10 06:05 |
ghq-bash-completion-1.7.1-r2.apk | 1.7 KiB | 2025-Feb-10 06:05 |
git-bug-doc-0.8.0-r16.apk | 16.6 KiB | 2025-Feb-10 06:05 |
git-bug-0.8.0-r16.apk | 9.3 MiB | 2025-Feb-10 06:05 |
git-bug-bash-completion-0.8.0-r16.apk | 5.1 KiB | 2025-Feb-10 06:05 |
geodns-3.3.0-r10.apk | 4.8 MiB | 2025-Feb-10 06:05 |
goawk-1.29.1-r2.apk | 1.3 MiB | 2025-Feb-10 06:05 |
git-bug-zsh-completion-0.8.0-r16.apk | 3.9 KiB | 2025-Feb-10 06:05 |
ghq-zsh-completion-1.7.1-r2.apk | 2.4 KiB | 2025-Feb-10 06:05 |
ghq-1.7.1-r2.apk | 3.6 MiB | 2025-Feb-10 06:05 |
geodns-logs-3.3.0-r10.apk | 4.3 MiB | 2025-Feb-10 06:05 |
geodns-openrc-3.3.0-r10.apk | 1.7 KiB | 2025-Feb-10 06:05 |
gliderlabs-sigil-0.11.0-r2.apk | 3.2 MiB | 2025-Feb-10 06:05 |
ghq-doc-1.7.1-r2.apk | 5.4 KiB | 2025-Feb-10 06:05 |
gliderlabs-sigil-doc-0.11.0-r2.apk | 2.4 KiB | 2025-Feb-10 06:05 |
filebrowser-openrc-2.27.0-r8.apk | 1.8 KiB | 2025-Feb-10 06:05 |
dsnet-doc-0.7.3-r8.apk | 9.1 KiB | 2025-Feb-10 06:05 |
ergo-ldap-0.0.1-r14.apk | 2.1 MiB | 2025-Feb-10 06:05 |
draw-0.1.1-r10.apk | 1008.4 KiB | 2025-Feb-10 06:05 |
dockerize-0.9.0-r2.apk | 3.2 MiB | 2025-Feb-10 06:05 |
dsnet-0.7.3-r8.apk | 3.9 MiB | 2025-Feb-10 06:05 |
dmarc-cat-0.15.0-r2.apk | 2.5 MiB | 2025-Feb-10 06:05 |
drone-cli-1.8.0-r7.apk | 5.6 MiB | 2025-Feb-10 06:05 |
duf-0.8.1-r23.apk | 1.1 MiB | 2025-Feb-10 06:05 |
dstask-bash-completion-0.26-r11.apk | 2.0 KiB | 2025-Feb-10 06:05 |
dstask-import-0.26-r11.apk | 3.3 MiB | 2025-Feb-10 06:05 |
docker-auth-doc-1.13.0-r1.apk | 10.4 KiB | 2025-Feb-10 06:05 |
docker-volume-local-persist-1.3.0-r30.apk | 2.4 MiB | 2025-Feb-10 06:05 |
dive-0.12.0-r2.apk | 4.1 MiB | 2025-Feb-10 06:05 |
dstask-zsh-completion-0.26-r11.apk | 1.6 KiB | 2025-Feb-10 06:05 |
exercism-fish-completion-3.2.0-r9.apk | 2.3 KiB | 2025-Feb-10 06:05 |
docker-volume-local-persist-openrc-1.3.0-r30.apk | 1.7 KiB | 2025-Feb-10 06:05 |
exercism-3.2.0-r9.apk | 4.0 MiB | 2025-Feb-10 06:05 |
dstask-0.26-r11.apk | 1.4 MiB | 2025-Feb-10 06:05 |
docker-auth-1.13.0-r1.apk | 10.1 MiB | 2025-Feb-10 06:05 |
exercism-bash-completion-3.2.0-r9.apk | 1.9 KiB | 2025-Feb-10 06:05 |
docker-auth-openrc-1.13.0-r1.apk | 2.0 KiB | 2025-Feb-10 06:05 |
ergo-ldap-doc-0.0.1-r14.apk | 2.2 KiB | 2025-Feb-10 06:05 |
exercism-zsh-completion-3.2.0-r9.apk | 2.1 KiB | 2025-Feb-10 06:05 |
dbmate-doc-2.16.0-r2.apk | 2.2 KiB | 2025-Feb-10 06:05 |
dbmate-2.16.0-r2.apk | 10.4 MiB | 2025-Feb-10 06:05 |
desync-0.9.6-r2.apk | 7.7 MiB | 2025-Feb-10 06:05 |
comics-downloader-0.33.8-r7.apk | 3.7 MiB | 2025-Feb-10 06:05 |
cloudflared-2024.12.1-r2.apk | 9.3 MiB | 2025-Feb-10 06:05 |
cloudflared-openrc-2024.12.1-r2.apk | 1.7 KiB | 2025-Feb-10 06:05 |
comics-downloader-gui-0.33.8-r7.apk | 5.5 MiB | 2025-Feb-10 06:05 |
cortex-tenant-openrc-1.15.2-r3.apk | 2.0 KiB | 2025-Feb-10 06:05 |
conntracct-0.2.7-r28.apk | 4.9 MiB | 2025-Feb-10 06:05 |
consul-replicate-0.4.0-r28.apk | 2.8 MiB | 2025-Feb-10 06:05 |
conntracct-openrc-0.2.7-r28.apk | 1.9 KiB | 2025-Feb-10 06:05 |
cloudflared-doc-2024.12.1-r2.apk | 1.8 KiB | 2025-Feb-10 06:05 |
cloudfoundry-cli-8.7.9-r6.apk | 9.0 MiB | 2025-Feb-10 06:05 |
cortex-tenant-1.15.2-r3.apk | 4.2 MiB | 2025-Feb-10 06:05 |
cilium-cli-fish-completion-0.16.13-r2.apk | 4.3 KiB | 2025-Feb-10 06:05 |
chasquid-openrc-1.15.0-r1.apk | 1.9 KiB | 2025-Feb-10 06:05 |
chasquid-1.15.0-r1.apk | 10.7 MiB | 2025-Feb-10 06:05 |
cliphist-fzf-0.6.1-r2.apk | 1.7 KiB | 2025-Feb-10 06:05 |
cilium-cli-zsh-completion-0.16.13-r2.apk | 4.0 KiB | 2025-Feb-10 06:05 |
cilium-cli-bash-completion-0.16.13-r2.apk | 5.0 KiB | 2025-Feb-10 06:05 |
cliphist-0.6.1-r2.apk | 947.3 KiB | 2025-Feb-10 06:05 |
chasquid-doc-1.15.0-r1.apk | 15.2 KiB | 2025-Feb-10 06:05 |
cilium-cli-0.16.13-r2.apk | 56.2 MiB | 2025-Feb-10 06:05 |
bomctl-zsh-completion-0.1.9-r3.apk | 4.0 KiB | 2025-Feb-10 06:05 |
aprilsh-doc-0.7.12-r2.apk | 14.3 KiB | 2025-Feb-10 06:05 |
butane-0.22.0-r2.apk | 2.7 MiB | 2025-Feb-10 06:05 |
avahi2dns-openrc-0.0.1_git20240102-r4.apk | 1.7 KiB | 2025-Feb-10 06:05 |
certigo-1.16.0-r20.apk | 3.6 MiB | 2025-Feb-10 06:05 |
aprilsh-client-0.7.12-r2.apk | 3.2 MiB | 2025-Feb-10 06:05 |
aprilsh-server-0.7.12-r2.apk | 2.5 MiB | 2025-Feb-10 06:05 |
certstrap-1.3.0-r20.apk | 2.2 MiB | 2025-Feb-10 06:05 |
autorestic-1.8.3-r2.apk | 3.7 MiB | 2025-Feb-10 06:05 |
aprilsh-openrc-0.7.12-r2.apk | 1.8 KiB | 2025-Feb-10 06:05 |
atools-go-doc-0.3.0-r1.apk | 2.2 KiB | 2025-Feb-10 06:05 |
bomctl-bash-completion-0.1.9-r3.apk | 5.1 KiB | 2025-Feb-10 06:05 |
atools-go-0.3.0-r1.apk | 1.1 MiB | 2025-Feb-10 06:05 |
bootloose-0.7.1-r8.apk | 2.2 MiB | 2025-Feb-10 06:05 |
avahi2dns-0.0.1_git20240102-r4.apk | 2.3 MiB | 2025-Feb-10 06:05 |
bomctl-0.1.9-r3.apk | 9.3 MiB | 2025-Feb-10 06:05 |
bomctl-fish-completion-0.1.9-r3.apk | 4.3 KiB | 2025-Feb-10 06:05 |
cfssl-1.6.5-r2.apk | 28.5 MiB | 2025-Feb-10 06:05 |
aprilsh-0.7.12-r2.apk | 1.6 KiB | 2025-Feb-10 06:05 |
acmetool-0.2.2-r10.apk | 4.4 MiB | 2025-Feb-10 06:05 |
alps-openrc-0_git20230807-r9.apk | 2.0 KiB | 2025-Feb-10 06:05 |
alps-0_git20230807-r9.apk | 5.5 MiB | 2025-Feb-10 06:05 |
alpine-lift-0.2.0-r20.apk | 3.6 MiB | 2025-Feb-10 06:05 |
antibody-6.1.1-r25.apk | 1.8 MiB | 2025-Feb-10 06:05 |
aports-glmr-0.2-r25.apk | 2.5 MiB | 2025-Feb-10 06:05 |
acmetool-doc-0.2.2-r10.apk | 46.6 KiB | 2025-Feb-10 06:05 |
py3-x-wr-timezone-2.0.1-r0.apk | 11.7 KiB | 2025-Feb-09 15:13 |
py3-x-wr-timezone-pyc-2.0.1-r0.apk | 7.1 KiB | 2025-Feb-09 15:13 |
aptdec-1.8.0-r1.apk | 87.0 KiB | 2025-Feb-08 23:44 |
sc3-plugins-3.13.0-r2.apk | 10.7 MiB | 2025-Feb-08 23:44 |
supercollider-3.13.0-r6.apk | 8.0 MiB | 2025-Feb-08 23:44 |
supercollider-dev-3.13.0-r6.apk | 39.2 KiB | 2025-Feb-08 23:44 |
serialdv-libs-1.1.4-r1.apk | 70.1 KiB | 2025-Feb-08 23:44 |
serialdv-dev-1.1.4-r1.apk | 5.1 KiB | 2025-Feb-08 23:44 |
serialdv-1.1.4-r1.apk | 7.3 KiB | 2025-Feb-08 23:44 |
aptdec-dev-1.8.0-r1.apk | 3.4 KiB | 2025-Feb-08 23:44 |
glslviewer-3.2.4-r1.apk | 1.9 MiB | 2025-Feb-08 23:44 |
aptdec-libs-1.8.0-r1.apk | 15.8 KiB | 2025-Feb-08 23:44 |
crosstool-ng-bash-completion-1.27.0-r0.apk | 2.1 KiB | 2025-Feb-06 04:49 |
singular-dev-4.4.1-r0.apk | 363.5 KiB | 2025-Feb-06 04:49 |
nlopt-dev-2.10.0-r0.apk | 11.9 KiB | 2025-Feb-06 04:49 |
libnest2d-dev-0.4-r7.apk | 69.9 KiB | 2025-Feb-06 04:49 |
crosstool-ng-doc-1.27.0-r0.apk | 33.2 KiB | 2025-Feb-06 04:49 |
libnest2d-0.4-r7.apk | 1.2 KiB | 2025-Feb-06 04:49 |
nlopt-octave-2.10.0-r0.apk | 28.4 KiB | 2025-Feb-06 04:49 |
singular-doc-4.4.1-r0.apk | 1.3 MiB | 2025-Feb-06 04:49 |
nlopt-guile-2.10.0-r0.apk | 43.9 KiB | 2025-Feb-06 04:49 |
singular-4.4.1-r0.apk | 10.2 MiB | 2025-Feb-06 04:49 |
singular-static-4.4.1-r0.apk | 5.4 MiB | 2025-Feb-06 04:49 |
nlopt-2.10.0-r0.apk | 193.7 KiB | 2025-Feb-06 04:49 |
crosstool-ng-1.27.0-r0.apk | 2.1 MiB | 2025-Feb-06 04:49 |
nlopt-doc-2.10.0-r0.apk | 22.8 KiB | 2025-Feb-06 04:49 |
singular-emacs-4.4.1-r0.apk | 101.4 KiB | 2025-Feb-06 04:49 |
py3-pynest2d-5.2.2-r5.apk | 283.2 KiB | 2025-Feb-06 04:49 |
gamemode-1.8.2-r0.apk | 68.0 KiB | 2025-Feb-05 23:26 |
gamemode-dev-1.8.2-r0.apk | 5.1 KiB | 2025-Feb-05 23:26 |
gamemode-doc-1.8.2-r0.apk | 7.5 KiB | 2025-Feb-05 23:26 |
winetricks-20250102-r0.apk | 172.5 KiB | 2025-Feb-05 22:20 |
xonsh-pyc-0.19.1-r0.apk | 1.0 MiB | 2025-Feb-05 22:20 |
xed-python-3.8.2-r0.apk | 24.3 KiB | 2025-Feb-05 22:20 |
xed-lang-3.8.2-r0.apk | 2.1 MiB | 2025-Feb-05 22:20 |
warpinator-1.8.8-r0.apk | 215.7 KiB | 2025-Feb-05 22:20 |
xed-doc-3.8.2-r0.apk | 970.9 KiB | 2025-Feb-05 22:20 |
xed-3.8.2-r0.apk | 1.1 MiB | 2025-Feb-05 22:20 |
xonsh-0.19.1-r0.apk | 584.6 KiB | 2025-Feb-05 22:20 |
mint-y-icons-doc-1.8.3-r0.apk | 11.3 KiB | 2025-Feb-05 22:20 |
mint-y-icons-1.8.3-r0.apk | 72.3 MiB | 2025-Feb-05 22:20 |
warpinator-nemo-1.8.8-r0.apk | 4.1 KiB | 2025-Feb-05 22:20 |
warpinator-lang-1.8.8-r0.apk | 222.2 KiB | 2025-Feb-05 22:20 |
xed-dev-3.8.2-r0.apk | 13.6 KiB | 2025-Feb-05 22:20 |
winetricks-doc-20250102-r0.apk | 3.7 KiB | 2025-Feb-05 22:20 |
winetricks-bash-completion-20250102-r0.apk | 8.6 KiB | 2025-Feb-05 22:20 |
jaq-doc-2.1.0-r0.apk | 2.2 KiB | 2025-Feb-05 22:20 |
jaq-2.1.0-r0.apk | 636.0 KiB | 2025-Feb-05 22:20 |
felix-2.16.0-r0.apk | 653.0 KiB | 2025-Feb-05 22:08 |
ttyper-1.6.0-r0.apk | 609.7 KiB | 2025-Feb-05 21:47 |
cargo-shuttle-doc-0.52.0-r0.apk | 9.1 KiB | 2025-Feb-04 22:07 |
cargo-shuttle-fish-completion-0.52.0-r0.apk | 7.3 KiB | 2025-Feb-04 22:07 |
cargo-shuttle-zsh-completion-0.52.0-r0.apk | 7.3 KiB | 2025-Feb-04 22:07 |
cargo-shuttle-bash-completion-0.52.0-r0.apk | 4.7 KiB | 2025-Feb-04 22:07 |
cargo-shuttle-0.52.0-r0.apk | 4.3 MiB | 2025-Feb-04 22:07 |
venc-3.2.5-r0.apk | 248.2 KiB | 2025-Feb-04 21:48 |
venc-pyc-3.2.5-r0.apk | 126.6 KiB | 2025-Feb-04 21:48 |
spvm-math-1.006-r0.apk | 23.3 KiB | 2025-Feb-04 07:32 |
spvm-math-doc-1.006-r0.apk | 6.8 KiB | 2025-Feb-04 07:32 |
spvm-mime-base64-doc-1.003-r0.apk | 5.3 KiB | 2025-Feb-04 07:32 |
spvm-thread-0.003-r0.apk | 11.6 KiB | 2025-Feb-04 07:32 |
spvm-mime-base64-1.003-r0.apk | 15.8 KiB | 2025-Feb-04 07:32 |
spvm-thread-doc-0.003-r0.apk | 5.8 KiB | 2025-Feb-04 07:32 |
nb-doc-7.15.1-r0.apk | 76.2 KiB | 2025-Feb-03 23:27 |
nb-7.15.1-r0.apk | 150.8 KiB | 2025-Feb-03 23:27 |
nb-bash-completion-7.15.1-r0.apk | 2.9 KiB | 2025-Feb-03 23:27 |
nb-fish-completion-7.15.1-r0.apk | 2.7 KiB | 2025-Feb-03 23:27 |
nb-zsh-completion-7.15.1-r0.apk | 2.9 KiB | 2025-Feb-03 23:27 |
nb-full-7.15.1-r0.apk | 1.2 KiB | 2025-Feb-03 23:27 |
stalwart-mail-0.11.6-r0.apk | 13.9 MiB | 2025-Feb-03 21:24 |
stalwart-mail-openrc-0.11.6-r0.apk | 2.0 KiB | 2025-Feb-03 21:24 |
stalwart-cli-0.11.6-r0.apk | 2.0 MiB | 2025-Feb-03 21:24 |
tree-sitter-nix-doc-0.0.2-r0.apk | 2.2 KiB | 2025-Feb-02 03:19 |
pipeline-lang-2.1.1-r0.apk | 62.9 KiB | 2025-Feb-02 03:19 |
tree-sitter-nix-0.0.2-r0.apk | 21.8 KiB | 2025-Feb-02 03:19 |
pipeline-doc-2.1.1-r0.apk | 13.5 KiB | 2025-Feb-02 03:19 |
pipeline-2.1.1-r0.apk | 1.7 MiB | 2025-Feb-02 03:19 |
siril-lang-1.2.6-r0.apk | 1.6 MiB | 2025-Feb-02 03:03 |
siril-doc-1.2.6-r0.apk | 17.7 KiB | 2025-Feb-02 03:03 |
siril-1.2.6-r0.apk | 2.9 MiB | 2025-Feb-02 03:03 |
tcc-doc-0.9.27_git20250106-r0.apk | 49.8 KiB | 2025-Feb-02 03:02 |
tcc-0.9.27_git20250106-r0.apk | 11.0 KiB | 2025-Feb-02 03:02 |
tcc-libs-0.9.27_git20250106-r0.apk | 135.9 KiB | 2025-Feb-02 03:02 |
tcc-libs-static-0.9.27_git20250106-r0.apk | 10.4 KiB | 2025-Feb-02 03:02 |
tcc-dev-0.9.27_git20250106-r0.apk | 45.8 KiB | 2025-Feb-02 03:02 |
mkosi-pyc-25.3-r0.apk | 393.4 KiB | 2025-Feb-01 22:35 |
mkosi-25.3-r0.apk | 250.9 KiB | 2025-Feb-01 22:35 |
svgbob-0.7.6-r0.apk | 467.4 KiB | 2025-Feb-01 19:51 |
rosenpass-0.2.2-r1.apk | 977.6 KiB | 2025-Feb-01 18:23 |
gtk-session-lock-0.2.0-r0.apk | 37.8 KiB | 2025-Jan-31 16:16 |
gtklock-doc-4.0.0-r0.apk | 3.0 KiB | 2025-Jan-31 16:16 |
gtklock-4.0.0-r0.apk | 19.6 KiB | 2025-Jan-31 16:16 |
gtk-session-lock-dev-0.2.0-r0.apk | 5.3 KiB | 2025-Jan-31 16:16 |
orage-lang-4.20.0-r0.apk | 1.2 MiB | 2025-Jan-31 14:33 |
orage-4.20.0-r0.apk | 573.3 KiB | 2025-Jan-31 14:33 |
kew-doc-3.0.3-r0.apk | 3.4 KiB | 2025-Jan-31 14:32 |
kew-3.0.3-r0.apk | 356.2 KiB | 2025-Jan-31 14:32 |
metadata-cleaner-doc-2.5.6-r0.apk | 1.9 MiB | 2025-Jan-31 14:31 |
metadata-cleaner-lang-2.5.6-r0.apk | 65.9 KiB | 2025-Jan-31 14:31 |
metadata-cleaner-2.5.6-r0.apk | 49.1 KiB | 2025-Jan-31 14:31 |
errands-lang-46.2.7-r0.apk | 71.1 KiB | 2025-Jan-31 14:30 |
errands-46.2.7-r0.apk | 84.8 KiB | 2025-Jan-31 14:30 |
gtk4-layer-shell-demo-1.1.0-r0.apk | 10.5 KiB | 2025-Jan-30 18:07 |
gtk4-layer-shell-1.1.0-r0.apk | 33.5 KiB | 2025-Jan-30 18:07 |
gtk4-layer-shell-dev-1.1.0-r0.apk | 12.2 KiB | 2025-Jan-30 18:07 |
gtk4-layer-shell-doc-1.1.0-r0.apk | 2.2 KiB | 2025-Jan-30 18:07 |
php81-pecl-couchbase-4.2.6-r0.apk | 5.1 MiB | 2025-Jan-30 01:59 |
cargo-chef-doc-0.1.71-r0.apk | 9.0 KiB | 2025-Jan-29 23:01 |
cargo-chef-0.1.71-r0.apk | 1.1 MiB | 2025-Jan-29 23:01 |
qpdfview-doc-0.5-r2.apk | 4.2 KiB | 2025-Jan-29 19:46 |
sturmreader-lang-3.7.2-r1.apk | 38.9 KiB | 2025-Jan-29 19:46 |
sturmreader-3.7.2-r1.apk | 1.1 MiB | 2025-Jan-29 19:46 |
lomiri-docviewer-app-doc-3.0.4-r1.apk | 2.0 KiB | 2025-Jan-29 19:46 |
katarakt-0.2-r1.apk | 91.4 KiB | 2025-Jan-29 19:46 |
py3-poppler-qt5-21.3.0-r2.apk | 119.0 KiB | 2025-Jan-29 19:46 |
lomiri-docviewer-app-3.0.4-r1.apk | 234.3 KiB | 2025-Jan-29 19:46 |
qpdfview-0.5-r2.apk | 1.0 MiB | 2025-Jan-29 19:46 |
lomiri-docviewer-app-lang-3.0.4-r1.apk | 115.9 KiB | 2025-Jan-29 19:46 |
py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk | 25.2 KiB | 2025-Jan-29 06:49 |
py3-poetry-dynamic-versioning-1.7.1-r0.apk | 19.7 KiB | 2025-Jan-29 06:49 |
py3-wgconfig-pyc-1.1.0-r0.apk | 11.7 KiB | 2025-Jan-29 06:49 |
py3-wgconfig-1.1.0-r0.apk | 22.0 KiB | 2025-Jan-29 06:49 |
godot-templates-4.3-r3.apk | 44.1 MiB | 2025-Jan-28 22:57 |
godot-doc-4.3-r3.apk | 4.5 KiB | 2025-Jan-28 22:57 |
godot-4.3-r3.apk | 46.8 MiB | 2025-Jan-28 22:57 |
sc-controller-pyc-0.5.0-r0.apk | 813.1 KiB | 2025-Jan-27 21:37 |
sc-controller-0.5.0-r0.apk | 1.3 MiB | 2025-Jan-27 21:37 |
py3-ioctl-opt-pyc-1.3-r0.apk | 4.6 KiB | 2025-Jan-27 21:37 |
py3-ioctl-opt-1.3-r0.apk | 11.6 KiB | 2025-Jan-27 21:37 |
fastd-23-r0.apk | 75.8 KiB | 2025-Jan-27 21:33 |
fastd-doc-23-r0.apk | 3.3 KiB | 2025-Jan-27 21:33 |
fastd-openrc-23-r0.apk | 1.7 KiB | 2025-Jan-27 21:33 |
opendht-3.1.11-r0.apk | 199.1 KiB | 2025-Jan-27 20:56 |
opendht-libs-3.1.11-r0.apk | 633.1 KiB | 2025-Jan-27 20:56 |
opendht-doc-3.1.11-r0.apk | 3.0 KiB | 2025-Jan-27 20:56 |
py3-opendht-3.1.11-r0.apk | 154.2 KiB | 2025-Jan-27 20:56 |
opendht-dev-3.1.11-r0.apk | 70.9 KiB | 2025-Jan-27 20:56 |
py3-b2sdk-2.8.0-r0.apk | 214.8 KiB | 2025-Jan-26 23:25 |
py3-b2sdk-pyc-2.8.0-r0.apk | 402.5 KiB | 2025-Jan-26 23:25 |
musikcube-plugin-taglibreader-3.0.4-r1.apk | 39.0 KiB | 2025-Jan-26 19:50 |
musikcube-plugin-server-3.0.4-r1.apk | 406.1 KiB | 2025-Jan-26 19:50 |
musikcube-3.0.4-r1.apk | 2.4 MiB | 2025-Jan-26 19:50 |
py3-pytaglib-3.0.0-r0.apk | 41.0 KiB | 2025-Jan-26 19:50 |
musikcube-plugin-httpdatastream-3.0.4-r1.apk | 86.6 KiB | 2025-Jan-26 19:50 |
musikcube-plugin-all-3.0.4-r1.apk | 1.3 KiB | 2025-Jan-26 19:50 |
musikcube-plugin-openmpt-3.0.4-r1.apk | 34.4 KiB | 2025-Jan-26 19:50 |
musikcube-plugin-mpris-3.0.4-r1.apk | 23.5 KiB | 2025-Jan-26 19:50 |
musikcube-plugin-supereqdsp-3.0.4-r1.apk | 28.7 KiB | 2025-Jan-26 19:50 |
musikcube-dev-3.0.4-r1.apk | 19.0 KiB | 2025-Jan-26 19:50 |
musikcube-plugin-stockencoders-3.0.4-r1.apk | 22.1 KiB | 2025-Jan-26 19:50 |
py3-pytaglib-pyc-3.0.0-r0.apk | 2.9 KiB | 2025-Jan-26 19:50 |
android-file-transfer-libs-4.3-r1.apk | 136.4 KiB | 2025-Jan-26 19:50 |
android-file-transfer-cli-4.3-r1.apk | 118.2 KiB | 2025-Jan-26 19:50 |
android-file-transfer-dev-4.3-r1.apk | 1.5 KiB | 2025-Jan-26 19:50 |
android-file-transfer-4.3-r1.apk | 194.1 KiB | 2025-Jan-26 19:50 |
gcli-2.6.1-r0.apk | 122.1 KiB | 2025-Jan-26 17:29 |
gcli-doc-2.6.1-r0.apk | 28.2 KiB | 2025-Jan-26 17:29 |
getmail6-doc-6.19.07-r0.apk | 137.5 KiB | 2025-Jan-26 15:48 |
getmail6-6.19.07-r0.apk | 71.0 KiB | 2025-Jan-26 15:48 |
getmail6-pyc-6.19.07-r0.apk | 104.8 KiB | 2025-Jan-26 15:48 |
lomiri-telephony-service-0.6.0-r0.apk | 1.1 MiB | 2025-Jan-26 00:12 |
lomiri-schemas-0.1.7-r0.apk | 10.6 KiB | 2025-Jan-26 00:12 |
morph-browser-lang-1.1.2-r0.apk | 326.8 KiB | 2025-Jan-26 00:12 |
morph-browser-1.1.2-r0.apk | 572.6 KiB | 2025-Jan-26 00:12 |
suru-icon-theme-2024.10.13-r0.apk | 2.9 MiB | 2025-Jan-26 00:12 |
lomiri-telephony-service-lang-0.6.0-r0.apk | 107.3 KiB | 2025-Jan-26 00:12 |
mdbook-katex-0.9.2-r0.apk | 1.2 MiB | 2025-Jan-25 21:41 |
oblibs-0.3.2.1-r0.apk | 30.0 KiB | 2025-Jan-25 16:17 |
uxplay-1.71.1-r0.apk | 220.3 KiB | 2025-Jan-25 16:17 |
uxplay-doc-1.71.1-r0.apk | 4.3 KiB | 2025-Jan-25 16:17 |
oblibs-dev-0.3.2.1-r0.apk | 57.5 KiB | 2025-Jan-25 16:17 |
py3-milc-1.9.1-r0.apk | 26.0 KiB | 2025-Jan-25 16:04 |
py3-milc-pyc-1.9.1-r0.apk | 41.5 KiB | 2025-Jan-25 16:04 |
virtme-ng-1.32-r1.apk | 194.6 KiB | 2025-Jan-25 15:54 |
virtme-ng-bash-completion-1.32-r1.apk | 2.6 KiB | 2025-Jan-25 15:54 |
nwg-panel-doc-0.9.62-r0.apk | 4.2 KiB | 2025-Jan-24 22:24 |
nwg-panel-pyc-0.9.62-r0.apk | 252.5 KiB | 2025-Jan-24 22:24 |
nwg-panel-0.9.62-r0.apk | 275.1 KiB | 2025-Jan-24 22:24 |
py3-owslib-pyc-0.32.1-r0.apk | 422.8 KiB | 2025-Jan-24 19:03 |
py3-owslib-0.32.1-r0.apk | 193.7 KiB | 2025-Jan-24 19:03 |
py3-pyinstrument-5.0.1-r0.apk | 107.8 KiB | 2025-Jan-24 08:19 |
py3-pyinstrument-pyc-5.0.1-r0.apk | 99.5 KiB | 2025-Jan-24 08:19 |
sshs-4.6.1-r0.apk | 732.5 KiB | 2025-Jan-23 19:13 |
dufs-zsh-completion-0.43.0-r0.apk | 2.7 KiB | 2025-Jan-23 19:05 |
dufs-doc-0.43.0-r0.apk | 10.5 KiB | 2025-Jan-23 19:05 |
dufs-fish-completion-0.43.0-r0.apk | 2.3 KiB | 2025-Jan-23 19:05 |
dufs-bash-completion-0.43.0-r0.apk | 2.3 KiB | 2025-Jan-23 19:05 |
dufs-0.43.0-r0.apk | 1.4 MiB | 2025-Jan-23 19:05 |
spvm-errno-doc-0.093-r0.apk | 5.9 KiB | 2025-Jan-23 08:22 |
spvm-errno-0.093-r0.apk | 17.4 KiB | 2025-Jan-23 08:22 |
php81-pecl-opentelemetry-1.1.2-r0.apk | 12.8 KiB | 2025-Jan-23 03:50 |
pyinfra-pyc-3.2-r0.apk | 348.7 KiB | 2025-Jan-23 02:45 |
pyinfra-3.2-r0.apk | 186.0 KiB | 2025-Jan-23 02:45 |
perl-net-curl-doc-0.57-r0.apk | 39.1 KiB | 2025-Jan-22 14:58 |
perl-net-curl-0.57-r0.apk | 61.9 KiB | 2025-Jan-22 14:58 |
ircd-hybrid-8.2.46-r0.apk | 337.5 KiB | 2025-Jan-22 14:55 |
ircd-hybrid-doc-8.2.46-r0.apk | 3.6 KiB | 2025-Jan-22 14:55 |
vcdimager-doc-2.0.1-r5.apk | 74.3 KiB | 2025-Jan-22 10:33 |
vcdimager-dev-2.0.1-r5.apk | 119.7 KiB | 2025-Jan-22 10:33 |
vcdimager-2.0.1-r5.apk | 477.2 KiB | 2025-Jan-22 10:33 |
gxlimg-0_git20240711-r0.apk | 20.7 KiB | 2025-Jan-21 19:44 |
py3-cdio-2.1.1-r6.apk | 101.8 KiB | 2025-Jan-20 20:43 |
py3-cdio-pyc-2.1.1-r6.apk | 43.1 KiB | 2025-Jan-20 20:43 |
gupnp-1.6.8-r0.apk | 90.3 KiB | 2025-Jan-20 14:48 |
gupnp-dev-1.6.8-r0.apk | 50.3 KiB | 2025-Jan-20 14:48 |
gupnp-doc-1.6.8-r0.apk | 3.7 KiB | 2025-Jan-20 14:48 |
kodaskanna-0.2.2-r0.apk | 54.9 KiB | 2025-Jan-20 08:16 |
kodaskanna-lang-0.2.2-r0.apk | 23.2 KiB | 2025-Jan-20 08:16 |
tang-15-r0.apk | 15.8 KiB | 2025-Jan-20 04:17 |
tang-dbg-15-r0.apk | 30.6 KiB | 2025-Jan-20 04:17 |
clevis-21-r0.apk | 53.5 KiB | 2025-Jan-20 04:17 |
tang-openrc-15-r0.apk | 1.9 KiB | 2025-Jan-20 04:17 |
clevis-doc-21-r0.apk | 23.2 KiB | 2025-Jan-20 04:17 |
clevis-dbg-21-r0.apk | 57.2 KiB | 2025-Jan-20 04:17 |
tang-doc-15-r0.apk | 20.6 KiB | 2025-Jan-20 04:17 |
clevis-bash-completion-21-r0.apk | 2.0 KiB | 2025-Jan-20 04:17 |
qgis-dev-3.34.15-r0.apk | 3.3 MiB | 2025-Jan-19 22:03 |
qgis-server-3.34.15-r0.apk | 1.7 MiB | 2025-Jan-19 22:03 |
qgis-3.34.15-r0.apk | 47.2 MiB | 2025-Jan-19 22:03 |
qgis-lang-3.34.15-r0.apk | 31.4 MiB | 2025-Jan-19 22:03 |
qgis-grass-3.34.15-r0.apk | 1.4 MiB | 2025-Jan-19 22:03 |
qgis-doc-3.34.15-r0.apk | 3.0 KiB | 2025-Jan-19 22:03 |
py3-qgis-3.34.15-r0.apk | 20.3 MiB | 2025-Jan-19 22:03 |
py3-flask-limiter-3.10.1-r0.apk | 26.6 KiB | 2025-Jan-19 17:13 |
py3-flask-limiter-pyc-3.10.1-r0.apk | 47.0 KiB | 2025-Jan-19 17:13 |
dcmtk-dev-3.6.9-r0.apk | 1.6 MiB | 2025-Jan-19 17:13 |
mapserver-8.4.0-r0.apk | 1.4 MiB | 2025-Jan-19 17:13 |
dcmtk-doc-3.6.9-r0.apk | 257.4 KiB | 2025-Jan-19 17:13 |
dcmtk-3.6.9-r0.apk | 1.3 MiB | 2025-Jan-19 17:13 |
mapserver-dev-8.4.0-r0.apk | 539.8 KiB | 2025-Jan-19 17:13 |
libdcmtk-3.6.9-r0.apk | 6.7 MiB | 2025-Jan-19 17:13 |
dcmtk-openrc-3.6.9-r0.apk | 1.7 KiB | 2025-Jan-19 17:13 |
trace-cmd-dbg-3.3.1-r1.apk | 473.1 KiB | 2025-Jan-19 11:55 |
trace-cmd-doc-3.3.1-r1.apk | 171.3 KiB | 2025-Jan-19 11:55 |
trace-cmd-3.3.1-r1.apk | 172.6 KiB | 2025-Jan-19 11:55 |
trace-cmd-bash-completion-3.3.1-r1.apk | 3.3 KiB | 2025-Jan-19 11:55 |
tinygltf-2.9.4-r0.apk | 158.1 KiB | 2025-Jan-19 11:55 |
tinygltf-dev-2.9.4-r0.apk | 57.2 KiB | 2025-Jan-19 11:55 |
py3-bibtexparser-1.4.3-r0.apk | 40.2 KiB | 2025-Jan-19 11:55 |
py3-bibtexparser-pyc-1.4.3-r0.apk | 48.8 KiB | 2025-Jan-19 11:55 |
py3-isbnlib-pyc-3.10.14-r0.apk | 66.7 KiB | 2025-Jan-19 11:55 |
py3-isbnlib-3.10.14-r0.apk | 42.7 KiB | 2025-Jan-19 11:55 |
ode-0.16.6-r0.apk | 595.6 KiB | 2025-Jan-19 11:55 |
php81-pecl-timezonedb-2025.1-r0.apk | 188.3 KiB | 2025-Jan-19 11:55 |
nuzzle-1.6-r0.apk | 11.2 KiB | 2025-Jan-17 14:21 |
nuzzle-doc-1.6-r0.apk | 3.2 KiB | 2025-Jan-17 14:21 |
waifu2x-converter-cpp-5.3.4-r8.apk | 11.6 MiB | 2025-Jan-16 22:07 |
perl-dbix-class-doc-0.082844-r0.apk | 420.5 KiB | 2025-Jan-16 17:27 |
perl-dbix-class-0.082844-r0.apk | 355.5 KiB | 2025-Jan-16 17:27 |
perl-libintl-perl-1.35-r0.apk | 304.9 KiB | 2025-Jan-16 13:35 |
perl-libintl-perl-doc-1.35-r0.apk | 571.4 KiB | 2025-Jan-16 13:35 |
py3-spnego-0.11.2-r0.apk | 117.9 KiB | 2025-Jan-16 07:52 |
py3-spnego-pyc-0.11.2-r0.apk | 219.1 KiB | 2025-Jan-16 07:52 |
gupnp-av-dev-0.14.3-r0.apk | 40.9 KiB | 2025-Jan-15 23:26 |
gupnp-av-0.14.3-r0.apk | 80.1 KiB | 2025-Jan-15 23:26 |
libm4rie-20200125-r5.apk | 185.8 KiB | 2025-Jan-15 18:23 |
libm4rie-static-20200125-r5.apk | 248.6 KiB | 2025-Jan-15 18:23 |
libm4ri-20240729-r2.apk | 157.4 KiB | 2025-Jan-15 18:23 |
libm4rie-dev-20200125-r5.apk | 24.4 KiB | 2025-Jan-15 18:23 |
libm4ri-dev-20240729-r2.apk | 31.6 KiB | 2025-Jan-15 18:23 |
libm4ri-static-20240729-r2.apk | 175.5 KiB | 2025-Jan-15 18:23 |
plzip-1.12-r0.apk | 51.9 KiB | 2025-Jan-15 08:35 |
plzip-doc-1.12-r0.apk | 16.8 KiB | 2025-Jan-15 08:35 |
highfive-2.10.1-r0.apk | 75.5 KiB | 2025-Jan-15 02:52 |
xtensor-0.25.0-r0.apk | 267.5 KiB | 2025-Jan-15 02:52 |
mirrorhall-0.1.1-r1.apk | 26.3 KiB | 2025-Jan-14 16:36 |
aoetools-doc-37-r2.apk | 13.7 KiB | 2025-Jan-14 16:36 |
aoetools-37-r2.apk | 22.9 KiB | 2025-Jan-14 16:36 |
mpop-doc-1.4.21-r0.apk | 33.2 KiB | 2025-Jan-14 05:15 |
mpop-lang-1.4.21-r0.apk | 130.1 KiB | 2025-Jan-14 05:15 |
mpop-vim-1.4.21-r0.apk | 2.6 KiB | 2025-Jan-14 05:15 |
mpop-1.4.21-r0.apk | 70.1 KiB | 2025-Jan-14 05:15 |
tick-doc-1.2.2-r0.apk | 5.5 KiB | 2025-Jan-14 01:26 |
tick-1.2.2-r0.apk | 10.7 KiB | 2025-Jan-14 01:26 |
diceware-pyc-1.0.1-r0.apk | 18.1 KiB | 2025-Jan-13 22:49 |
diceware-1.0.1-r0.apk | 334.0 KiB | 2025-Jan-13 22:49 |
py3-linux-procfs-pyc-0.7.3-r0.apk | 22.1 KiB | 2025-Jan-13 21:19 |
py3-linux-procfs-0.7.3-r0.apk | 13.6 KiB | 2025-Jan-13 21:19 |
cargo-flamegraph-0.6.7-r0.apk | 1.3 MiB | 2025-Jan-13 11:49 |
cargo-flamegraph-zsh-completion-0.6.7-r0.apk | 2.9 KiB | 2025-Jan-13 11:49 |
cargo-flamegraph-doc-0.6.7-r0.apk | 14.8 KiB | 2025-Jan-13 11:49 |
cargo-flamegraph-bash-completion-0.6.7-r0.apk | 2.3 KiB | 2025-Jan-13 11:49 |
cargo-flamegraph-fish-completion-0.6.7-r0.apk | 2.5 KiB | 2025-Jan-13 11:49 |
pdal-python-plugins-1.6.2-r0.apk | 270.1 KiB | 2025-Jan-13 06:34 |
libxo-dev-1.7.5-r0.apk | 75.8 KiB | 2025-Jan-12 22:45 |
libxo-doc-1.7.5-r0.apk | 62.8 KiB | 2025-Jan-12 22:45 |
libxo-1.7.5-r0.apk | 178.8 KiB | 2025-Jan-12 22:45 |
elementary-theme-8.1.0-r0.apk | 1.5 MiB | 2025-Jan-12 20:36 |
py3-plexapi-doc-4.16.1-r0.apk | 84.0 KiB | 2025-Jan-12 17:48 |
py3-plexapi-4.16.1-r0.apk | 152.9 KiB | 2025-Jan-12 17:48 |
py3-plexapi-pyc-4.16.1-r0.apk | 306.0 KiB | 2025-Jan-12 17:48 |
typstyle-0.12.14-r0.apk | 529.0 KiB | 2025-Jan-12 13:44 |
b2-tools-pyc-4.2.0-r0.apk | 135.5 KiB | 2025-Jan-12 13:27 |
b2-tools-4.2.0-r0.apk | 72.3 KiB | 2025-Jan-12 13:27 |
whatsie-4.16.3-r0.apk | 15.3 MiB | 2025-Jan-12 13:12 |
whatsie-doc-4.16.3-r0.apk | 2.2 KiB | 2025-Jan-12 13:12 |
66-tools-doc-0.1.1.0-r0.apk | 39.0 KiB | 2025-Jan-12 13:12 |
66-tools-dev-0.1.1.0-r0.apk | 1.8 KiB | 2025-Jan-12 13:12 |
66-tools-0.1.1.0-r0.apk | 52.5 KiB | 2025-Jan-12 13:12 |
66-tools-nsrules-0.1.1.0-r0.apk | 2.8 KiB | 2025-Jan-12 13:12 |
py3-pyglet-2.1.0-r0.apk | 870.2 KiB | 2025-Jan-12 09:01 |
py3-pyglet-pyc-2.1.0-r0.apk | 1.6 MiB | 2025-Jan-12 09:01 |
perl-test-file-1.994-r0.apk | 11.4 KiB | 2025-Jan-12 04:09 |
perl-test-file-doc-1.994-r0.apk | 6.7 KiB | 2025-Jan-12 04:09 |
mdbook-alerts-0.7.0-r0.apk | 740.7 KiB | 2025-Jan-11 12:08 |
monopd-openrc-0.10.4-r0.apk | 1.7 KiB | 2025-Jan-11 11:11 |
monopd-0.10.4-r0.apk | 99.7 KiB | 2025-Jan-11 11:11 |
lxqt-wayland-session-0.1.0-r0.apk | 332.0 KiB | 2025-Jan-11 10:32 |
lxqt-wayland-session-doc-0.1.0-r0.apk | 26.0 KiB | 2025-Jan-11 10:32 |
py3-blockdiag-pyc-3.0.0-r6.apk | 148.8 KiB | 2025-Jan-10 12:38 |
libqofono-0.124-r0.apk | 1.2 KiB | 2025-Jan-10 12:38 |
libqofono-dev-0.124-r0.apk | 42.1 KiB | 2025-Jan-10 12:38 |
py3-blockdiag-3.0.0-r6.apk | 68.0 KiB | 2025-Jan-10 12:38 |
stubbyboot-1.0.4-r0.apk | 3.2 KiB | 2025-Jan-10 12:38 |
stubbyboot-efistub-1.0.4-r0.apk | 24.9 KiB | 2025-Jan-10 12:38 |
libqofono-qt5-0.124-r0.apk | 301.8 KiB | 2025-Jan-10 12:38 |
py3-blockdiag-tests-3.0.0-r6.apk | 2.5 MiB | 2025-Jan-10 12:38 |
libqofono-qt6-0.124-r0.apk | 446.0 KiB | 2025-Jan-10 12:38 |
lomiri-notifications-1.3.1-r0.apk | 102.0 KiB | 2025-Jan-10 10:15 |
lomiri-settings-components-1.1.2-r0.apk | 222.1 KiB | 2025-Jan-10 10:15 |
lomiri-settings-components-lang-1.1.2-r0.apk | 97.8 KiB | 2025-Jan-10 10:15 |
lomiri-ui-toolkit-lang-1.3.5110-r0.apk | 96.4 KiB | 2025-Jan-10 10:15 |
lomiri-system-settings-1.3.0-r0.apk | 1.1 MiB | 2025-Jan-10 10:15 |
lomiri-ui-toolkit-1.3.5110-r0.apk | 1.3 MiB | 2025-Jan-10 10:15 |
lomiri-ui-toolkit-dev-1.3.5110-r0.apk | 167.8 KiB | 2025-Jan-10 10:15 |
lomiri-system-settings-lang-1.3.0-r0.apk | 826.3 KiB | 2025-Jan-10 10:15 |
tree-sitter-haskell-0.23.1-r0.apk | 283.9 KiB | 2025-Jan-09 15:52 |
zfs-src-2.2.4-r1.apk | 32.4 MiB | 2025-Jan-09 11:46 |
ddcci-driver-linux-src-0.4.5-r1.apk | 18.9 KiB | 2025-Jan-09 11:45 |
lkrg-0.9.6-r1.apk | 104.7 KiB | 2025-Jan-09 11:44 |
lkrg-doc-0.9.6-r1.apk | 21.6 KiB | 2025-Jan-09 11:44 |
mailutils-3.18-r0.apk | 218.4 KiB | 2025-Jan-09 05:56 |
mailutils-servers-3.18-r0.apk | 80.0 KiB | 2025-Jan-09 05:56 |
mailutils-doc-3.18-r0.apk | 160.0 KiB | 2025-Jan-09 05:56 |
mailutils-dev-3.18-r0.apk | 3.1 MiB | 2025-Jan-09 05:56 |
mailutils-mh-3.18-r0.apk | 1.4 MiB | 2025-Jan-09 05:56 |
mailutils-libs-3.18-r0.apk | 538.4 KiB | 2025-Jan-09 05:56 |
perl-uri-db-0.23-r0.apk | 10.9 KiB | 2025-Jan-09 05:27 |
perl-uri-db-doc-0.23-r0.apk | 8.4 KiB | 2025-Jan-09 05:27 |
gkrellm-dev-2.3.11-r0.apk | 16.5 KiB | 2025-Jan-08 22:36 |
gkrellm-server-2.3.11-r0.apk | 51.5 KiB | 2025-Jan-08 22:36 |
gkrellm-doc-2.3.11-r0.apk | 18.5 KiB | 2025-Jan-08 22:36 |
gkrellm-lang-2.3.11-r0.apk | 379.1 KiB | 2025-Jan-08 22:36 |
gkrellm-2.3.11-r0.apk | 346.2 KiB | 2025-Jan-08 22:36 |
gmcapsule-0.9.7-r0.apk | 36.0 KiB | 2025-Jan-08 19:12 |
gmcapsule-openrc-0.9.7-r0.apk | 1.9 KiB | 2025-Jan-08 19:12 |
gmcapsule-pyc-0.9.7-r0.apk | 60.6 KiB | 2025-Jan-08 19:12 |
cbqn-0.8.0-r0.apk | 919.7 KiB | 2025-Jan-07 18:00 |
edam-1.0.2-r0.apk | 40.5 KiB | 2025-Jan-07 15:32 |
edam-doc-1.0.2-r0.apk | 8.3 KiB | 2025-Jan-07 15:32 |
py3-pathvalidate-pyc-3.2.3-r0.apk | 33.3 KiB | 2025-Jan-06 10:40 |
py3-pathvalidate-3.2.3-r0.apk | 18.8 KiB | 2025-Jan-06 10:40 |
homebank-5.8.6-r0.apk | 1.9 MiB | 2025-Jan-05 23:13 |
homebank-lang-5.8.6-r0.apk | 919.9 KiB | 2025-Jan-05 23:13 |
rtl8812au-src-5.6.4.2_git20231103-r1.apk | 2.6 MiB | 2025-Jan-05 21:12 |
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 4.1 KiB | 2025-Jan-05 15:08 |
perl-datetime-format-atom-1.8.0-r0.apk | 3.1 KiB | 2025-Jan-05 15:08 |
perl-datetime-format-rfc3339-1.10.0-r0.apk | 4.4 KiB | 2025-Jan-05 15:08 |
perl-datetime-format-atom-doc-1.8.0-r0.apk | 3.8 KiB | 2025-Jan-05 15:08 |
ff2mpv-rust-doc-1.1.5-r0.apk | 13.5 KiB | 2025-Jan-04 22:31 |
ff2mpv-rust-1.1.5-r0.apk | 218.5 KiB | 2025-Jan-04 22:31 |
trippy-0.12.2-r0.apk | 1.9 MiB | 2025-Jan-04 22:30 |
trippy-zsh-completion-0.12.2-r0.apk | 4.7 KiB | 2025-Jan-04 22:30 |
trippy-bash-completion-0.12.2-r0.apk | 3.1 KiB | 2025-Jan-04 22:30 |
twinkle-1.10.3-r3.apk | 2.6 MiB | 2025-Jan-04 21:55 |
twinkle-doc-1.10.3-r3.apk | 3.5 KiB | 2025-Jan-04 21:55 |
libzrtpcpp-4.7.0-r0.apk | 167.0 KiB | 2025-Jan-04 21:55 |
libzrtpcpp-dev-4.7.0-r0.apk | 37.9 KiB | 2025-Jan-04 21:55 |
py3-rtree-1.3.0-r0.apk | 24.7 KiB | 2025-Jan-04 05:20 |
py3-rtree-pyc-1.3.0-r0.apk | 44.4 KiB | 2025-Jan-04 05:20 |
plfit-static-1.0.1-r0.apk | 45.6 KiB | 2025-Jan-04 03:47 |
plfit-1.0.1-r0.apk | 12.9 KiB | 2025-Jan-04 03:47 |
plfit-libs-1.0.1-r0.apk | 38.8 KiB | 2025-Jan-04 03:47 |
plfit-dev-1.0.1-r0.apk | 6.5 KiB | 2025-Jan-04 03:47 |
jedi-language-server-0.43.1-r0.apk | 26.0 KiB | 2025-Jan-04 01:41 |
jedi-language-server-pyc-0.43.1-r0.apk | 37.3 KiB | 2025-Jan-04 01:41 |
pfetch-doc-1.7.0-r0.apk | 5.6 KiB | 2025-Jan-03 18:51 |
pfetch-1.7.0-r0.apk | 23.1 KiB | 2025-Jan-03 18:51 |
mp3gain-1.6.2-r3.apk | 32.0 KiB | 2025-Jan-03 16:48 |
py3-mopidy-local-pyc-3.3.0-r0.apk | 34.1 KiB | 2025-Jan-03 14:34 |
py3-mopidy-local-3.3.0-r0.apk | 27.8 KiB | 2025-Jan-03 14:34 |
perl-mce-doc-1.901-r0.apk | 169.7 KiB | 2025-Jan-03 14:34 |
perl-mce-1.901-r0.apk | 134.3 KiB | 2025-Jan-03 14:34 |
lyrics-in-terminal-1.7.0-r0.apk | 38.1 KiB | 2025-Jan-03 14:34 |
bootchart2-0.14.9-r0.apk | 136.8 KiB | 2025-Jan-03 14:34 |
php84-pecl-solr-2.8.0-r0.apk | 87.2 KiB | 2025-Jan-03 14:34 |
bindfs-doc-1.17.7-r0.apk | 9.0 KiB | 2025-Jan-03 14:34 |
bindfs-1.17.7-r0.apk | 21.7 KiB | 2025-Jan-03 14:34 |
tiny-doc-0.13.0-r0.apk | 5.5 KiB | 2025-Jan-01 18:11 |
tiny-0.13.0-r0.apk | 580.3 KiB | 2025-Jan-01 18:11 |
pgcat-1.2.0-r1.apk | 2.6 MiB | 2025-Jan-01 17:38 |
pgcat-openrc-1.2.0-r1.apk | 1.9 KiB | 2025-Jan-01 17:38 |
perl-datetime-format-flexible-0.37-r0.apk | 18.1 KiB | 2024-Dec-31 13:26 |
perl-datetime-format-flexible-doc-0.37-r0.apk | 12.1 KiB | 2024-Dec-31 13:26 |
geoclue-stumbler-0.2.0-r0.apk | 28.1 KiB | 2024-Dec-31 12:56 |
perl-getopt-long-descriptive-doc-0.116-r0.apk | 11.0 KiB | 2024-Dec-31 09:40 |
perl-getopt-long-descriptive-0.116-r0.apk | 14.6 KiB | 2024-Dec-31 09:40 |
soapy-bladerf-0.4.2-r0.apk | 51.1 KiB | 2024-Dec-31 01:11 |
py3-pbs-installer-pyc-2024.12.19-r0.apk | 56.9 KiB | 2024-Dec-31 01:10 |
py3-pbs-installer-2024.12.19-r0.apk | 50.6 KiB | 2024-Dec-31 01:10 |
fungw-doc-1.2.1-r0.apk | 12.9 KiB | 2024-Dec-30 09:48 |
fungw-mujs-1.2.1-r0.apk | 16.5 KiB | 2024-Dec-30 09:48 |
fungw-python3-1.2.1-r0.apk | 25.9 KiB | 2024-Dec-30 09:48 |
fungw-dev-1.2.1-r0.apk | 7.6 KiB | 2024-Dec-30 09:48 |
fungw-tcl-1.2.1-r0.apk | 13.2 KiB | 2024-Dec-30 09:48 |
fungw-duktape-1.2.1-r0.apk | 16.9 KiB | 2024-Dec-30 09:48 |
fungw-1.2.1-r0.apk | 13.3 KiB | 2024-Dec-30 09:48 |
fungw-cli-1.2.1-r0.apk | 22.5 KiB | 2024-Dec-30 09:48 |
fungw-fawk-1.2.1-r0.apk | 112.0 KiB | 2024-Dec-30 09:48 |
fungw-lua-1.2.1-r0.apk | 14.7 KiB | 2024-Dec-30 09:48 |
fungw-perl-1.2.1-r0.apk | 46.0 KiB | 2024-Dec-30 09:48 |
fungw-c-1.2.1-r0.apk | 8.2 KiB | 2024-Dec-30 09:48 |
perl-dbix-connector-doc-0.60-r0.apk | 22.3 KiB | 2024-Dec-30 06:37 |
perl-dbix-connector-0.60-r0.apk | 14.7 KiB | 2024-Dec-30 06:37 |
perl-sql-abstract-more-doc-1.43-r0.apk | 16.5 KiB | 2024-Dec-30 06:37 |
perl-dbix-lite-0.36-r0.apk | 18.0 KiB | 2024-Dec-30 06:37 |
perl-dbix-lite-doc-0.36-r0.apk | 17.5 KiB | 2024-Dec-30 06:37 |
perl-sql-abstract-more-1.43-r0.apk | 27.5 KiB | 2024-Dec-30 06:37 |
sciteco-gtk-2.3.0-r0.apk | 1.6 MiB | 2024-Dec-29 08:42 |
sciteco-2.3.0-r0.apk | 1.4 MiB | 2024-Dec-29 08:42 |
sciteco-common-2.3.0-r0.apk | 149.8 KiB | 2024-Dec-29 08:42 |
sciteco-doc-2.3.0-r0.apk | 110.6 KiB | 2024-Dec-29 08:42 |
cargo-machete-0.7.0-r0.apk | 1.2 MiB | 2024-Dec-28 18:16 |
cargo-machete-doc-0.7.0-r0.apk | 3.9 KiB | 2024-Dec-28 18:16 |
perl-dancer2-1.1.2-r0.apk | 163.0 KiB | 2024-Dec-28 04:54 |
perl-cli-osprey-0.08-r0.apk | 12.7 KiB | 2024-Dec-28 04:54 |
perl-dancer2-doc-1.1.2-r0.apk | 300.8 KiB | 2024-Dec-28 04:54 |
perl-cli-osprey-doc-0.08-r0.apk | 12.1 KiB | 2024-Dec-28 04:54 |
libdng-0.2.1-r0.apk | 11.6 KiB | 2024-Dec-27 22:10 |
libdng-dev-0.2.1-r0.apk | 3.2 KiB | 2024-Dec-27 22:10 |
libdng-doc-0.2.1-r0.apk | 4.2 KiB | 2024-Dec-27 22:10 |
libdng-utils-0.2.1-r0.apk | 6.2 KiB | 2024-Dec-27 22:10 |
perl-plack-middleware-removeredundantbody-0.09-..> | 2.5 KiB | 2024-Dec-27 14:02 |
perl-plack-middleware-removeredundantbody-doc-0..> | 3.1 KiB | 2024-Dec-27 14:02 |
catfish-lang-4.20.0-r0.apk | 162.4 KiB | 2024-Dec-27 10:36 |
catfish-doc-4.20.0-r0.apk | 12.9 KiB | 2024-Dec-27 10:36 |
catfish-pyc-4.20.0-r0.apk | 101.1 KiB | 2024-Dec-27 10:36 |
catfish-4.20.0-r0.apk | 126.3 KiB | 2024-Dec-27 10:36 |
mdbook-admonish-1.18.0-r0.apk | 1.0 MiB | 2024-Dec-27 09:08 |
perl-plack-middleware-fixmissingbodyinredirect-..> | 3.1 KiB | 2024-Dec-26 09:36 |
perl-plack-middleware-fixmissingbodyinredirect-..> | 3.1 KiB | 2024-Dec-26 09:36 |
py3-pysubs2-pyc-1.8.0-r0.apk | 67.7 KiB | 2024-Dec-25 22:09 |
py3-pysubs2-1.8.0-r0.apk | 35.9 KiB | 2024-Dec-25 22:09 |
create-tauri-app-4.5.9-r0.apk | 648.8 KiB | 2024-Dec-25 22:08 |
create-tauri-app-doc-4.5.9-r0.apk | 6.1 KiB | 2024-Dec-25 22:08 |
octoprint-openrc-1.10.3-r0.apk | 1.7 KiB | 2024-Dec-25 22:04 |
octoprint-1.10.3-r0.apk | 3.0 MiB | 2024-Dec-25 22:04 |
octoprint-pyc-1.10.3-r0.apk | 1.2 MiB | 2024-Dec-25 22:04 |
py3-minio-pyc-7.2.13-r0.apk | 160.4 KiB | 2024-Dec-25 17:48 |
py3-minio-7.2.13-r0.apk | 76.4 KiB | 2024-Dec-25 17:48 |
postgresql-pg_graphql-1.5.9-r0.apk | 601.5 KiB | 2024-Dec-25 17:35 |
lgogdownloader-3.16-r0.apk | 385.8 KiB | 2024-Dec-25 17:08 |
lgogdownloader-doc-3.16-r0.apk | 8.5 KiB | 2024-Dec-25 17:08 |
py3-limits-3.14.1-r0.apk | 33.5 KiB | 2024-Dec-25 16:22 |
py3-limits-pyc-3.14.1-r0.apk | 71.4 KiB | 2024-Dec-25 16:22 |
rime-ls-0.4.1-r0.apk | 1.1 MiB | 2024-Dec-25 15:41 |
font-openmoji-15.0.0-r0.apk | 3.5 MiB | 2024-Dec-24 22:52 |
passage-fish-completion-1.7.4_alpha2-r0.apk | 2.7 KiB | 2024-Dec-24 11:03 |
passage-zsh-completion-1.7.4_alpha2-r0.apk | 3.0 KiB | 2024-Dec-24 11:03 |
passage-1.7.4_alpha2-r0.apk | 8.1 KiB | 2024-Dec-24 11:03 |
passage-bash-completion-1.7.4_alpha2-r0.apk | 3.0 KiB | 2024-Dec-24 11:03 |
guish-doc-2.6.11-r0.apk | 61.3 KiB | 2024-Dec-24 10:42 |
guish-2.6.11-r0.apk | 103.1 KiB | 2024-Dec-24 10:42 |
mint-x-icons-doc-1.7.2-r0.apk | 7.4 KiB | 2024-Dec-24 10:07 |
mint-x-icons-1.7.2-r0.apk | 22.5 MiB | 2024-Dec-24 10:07 |
wine-mono-9.4.0-r0.apk | 79.7 MiB | 2024-Dec-24 10:06 |
py3-xsdata-pyc-24.12-r0.apk | 389.3 KiB | 2024-Dec-23 21:50 |
py3-xsdata-24.12-r0.apk | 188.6 KiB | 2024-Dec-23 21:50 |
mdbook-mermaid-0.14.0-r0.apk | 1.6 MiB | 2024-Dec-23 17:24 |
reprotest-0.7.29-r0.apk | 80.2 KiB | 2024-Dec-23 04:07 |
reprotest-pyc-0.7.29-r0.apk | 102.9 KiB | 2024-Dec-23 04:07 |
pitivi-2023.03-r2.apk | 2.7 MiB | 2024-Dec-22 22:04 |
pitivi-pyc-2023.03-r2.apk | 700.2 KiB | 2024-Dec-22 22:04 |
pitivi-lang-2023.03-r2.apk | 678.3 KiB | 2024-Dec-22 22:04 |
supersonik-0.1.0-r1.apk | 1.1 MiB | 2024-Dec-22 18:35 |
amber-mpris-dev-1.2.9-r0.apk | 6.7 KiB | 2024-Dec-22 17:04 |
amber-mpris-1.2.9-r0.apk | 226.5 KiB | 2024-Dec-22 17:04 |
tk9-9.0.1-r0.apk | 837.1 KiB | 2024-Dec-22 06:22 |
tk9-dev-9.0.1-r0.apk | 81.2 KiB | 2024-Dec-22 06:22 |
tcl9-9.0.1-r0.apk | 1.9 MiB | 2024-Dec-22 06:22 |
tcl9-dev-9.0.1-r0.apk | 183.2 KiB | 2024-Dec-22 06:22 |
tk9-doc-9.0.1-r0.apk | 1.3 MiB | 2024-Dec-22 06:22 |
tcl9-doc-9.0.1-r0.apk | 1.4 MiB | 2024-Dec-22 06:22 |
welle-io-doc-2.6-r0.apk | 4.0 KiB | 2024-Dec-21 22:25 |
welle-io-2.6-r0.apk | 408.6 KiB | 2024-Dec-21 22:25 |
welle-cli-2.6-r0.apk | 320.1 KiB | 2024-Dec-21 22:25 |
swi-prolog-xpce-9.2.9-r0.apk | 921.8 KiB | 2024-Dec-21 10:10 |
swi-prolog-9.2.9-r0.apk | 5.0 MiB | 2024-Dec-21 10:10 |
swi-prolog-xpce-doc-9.2.9-r0.apk | 1.0 MiB | 2024-Dec-21 10:10 |
swi-prolog-doc-9.2.9-r0.apk | 2.1 MiB | 2024-Dec-21 10:10 |
swi-prolog-pyc-9.2.9-r0.apk | 22.5 KiB | 2024-Dec-21 10:10 |
bird3-openrc-3.0.0-r0.apk | 2.3 KiB | 2024-Dec-20 17:10 |
bird3-dbg-3.0.0-r0.apk | 2.1 MiB | 2024-Dec-20 17:10 |
bird3-3.0.0-r0.apk | 545.9 KiB | 2024-Dec-20 17:10 |
perl-ffi-platypus-2.10-r0.apk | 182.3 KiB | 2024-Dec-19 05:12 |
perl-ffi-platypus-doc-2.10-r0.apk | 146.1 KiB | 2024-Dec-19 05:12 |
smplxmpp-doc-0.9.3-r4.apk | 24.8 KiB | 2024-Dec-18 12:06 |
smplxmpp-0.9.3-r4.apk | 156.4 KiB | 2024-Dec-18 12:06 |
tangara-companion-0.4.3-r0.apk | 1.0 MiB | 2024-Dec-17 20:16 |
nicotine-plus-doc-3.3.7-r0.apk | 2.5 KiB | 2024-Dec-16 14:21 |
nicotine-plus-pyc-3.3.7-r0.apk | 781.0 KiB | 2024-Dec-16 14:21 |
nicotine-plus-lang-3.3.7-r0.apk | 660.9 KiB | 2024-Dec-16 14:21 |
nicotine-plus-3.3.7-r0.apk | 1.5 MiB | 2024-Dec-16 14:21 |
py3-proxmoxer-pyc-2.2.0-r0.apk | 27.7 KiB | 2024-Dec-16 11:36 |
py3-proxmoxer-2.2.0-r0.apk | 16.9 KiB | 2024-Dec-16 11:36 |
meli-doc-0.8.10-r0.apk | 47.3 KiB | 2024-Dec-16 10:10 |
meli-0.8.10-r0.apk | 4.6 MiB | 2024-Dec-16 10:10 |
throttled-pyc-0.10.0-r1.apk | 28.4 KiB | 2024-Dec-15 19:26 |
throttled-openrc-0.10.0-r1.apk | 1.6 KiB | 2024-Dec-15 19:26 |
bore-0.5.2-r0.apk | 565.9 KiB | 2024-Dec-15 19:26 |
throttled-0.10.0-r1.apk | 14.6 KiB | 2024-Dec-15 19:26 |
perl-template-tiny-1.14-r0.apk | 5.2 KiB | 2024-Dec-15 10:09 |
perl-template-tiny-doc-1.14-r0.apk | 4.7 KiB | 2024-Dec-15 10:09 |
py3-jaraco.stream-pyc-3.0.4-r0.apk | 8.1 KiB | 2024-Dec-14 22:56 |
py3-jaraco.stream-3.0.4-r0.apk | 6.7 KiB | 2024-Dec-14 22:56 |
azote-pyc-1.14.0-r0.apk | 98.0 KiB | 2024-Dec-14 20:38 |
azote-1.14.0-r0.apk | 7.6 MiB | 2024-Dec-14 20:38 |
ntpd-rs-doc-1.4.0-r0.apk | 22.7 KiB | 2024-Dec-14 19:46 |
restinio-0.6.19-r1.apk | 1.2 KiB | 2024-Dec-14 19:46 |
knxd-0.14.61-r1.apk | 408.4 KiB | 2024-Dec-14 19:46 |
restinio-dev-0.6.19-r1.apk | 267.8 KiB | 2024-Dec-14 19:46 |
libsemigroups-static-2.7.3-r1.apk | 1.6 MiB | 2024-Dec-14 19:46 |
pebble-le-doc-0.3.0-r2.apk | 3.7 KiB | 2024-Dec-14 19:46 |
pebble-le-dev-0.3.0-r2.apk | 39.7 KiB | 2024-Dec-14 19:46 |
ntpd-rs-1.4.0-r0.apk | 2.4 MiB | 2024-Dec-14 19:46 |
libsemigroups-2.7.3-r1.apk | 757.2 KiB | 2024-Dec-14 19:46 |
pebble-le-0.3.0-r2.apk | 65.9 KiB | 2024-Dec-14 19:46 |
knxd-dev-0.14.61-r1.apk | 24.2 KiB | 2024-Dec-14 19:46 |
libsemigroups-dev-2.7.3-r1.apk | 334.5 KiB | 2024-Dec-14 19:46 |
ntpd-rs-openrc-1.4.0-r0.apk | 1.8 KiB | 2024-Dec-14 19:46 |
jwt-cli-6.2.0-r0.apk | 837.9 KiB | 2024-Dec-14 18:18 |
tealdeer-1.7.1-r0.apk | 895.9 KiB | 2024-Dec-14 18:14 |
primesieve-12.6-r0.apk | 51.1 KiB | 2024-Dec-14 18:14 |
primesieve-libs-12.6-r0.apk | 137.1 KiB | 2024-Dec-14 18:14 |
primesieve-doc-12.6-r0.apk | 4.0 KiB | 2024-Dec-14 18:14 |
primesieve-dev-12.6-r0.apk | 1.3 MiB | 2024-Dec-14 18:14 |
tealdeer-fish-completion-1.7.1-r0.apk | 2.2 KiB | 2024-Dec-14 18:14 |
tealdeer-zsh-completion-1.7.1-r0.apk | 2.3 KiB | 2024-Dec-14 18:14 |
tealdeer-bash-completion-1.7.1-r0.apk | 2.0 KiB | 2024-Dec-14 18:14 |
mdcat-bash-completion-2.7.1-r0.apk | 2.2 KiB | 2024-Dec-14 18:04 |
mdcat-zsh-completion-2.7.1-r0.apk | 2.4 KiB | 2024-Dec-14 18:04 |
mdcat-doc-2.7.1-r0.apk | 6.0 KiB | 2024-Dec-14 18:04 |
mdcat-2.7.1-r0.apk | 3.0 MiB | 2024-Dec-14 18:04 |
mdcat-fish-completion-2.7.1-r0.apk | 2.1 KiB | 2024-Dec-14 18:04 |
soqt-dev-1.6.3-r0.apk | 83.9 KiB | 2024-Dec-13 20:44 |
soqt-doc-1.6.3-r0.apk | 881.2 KiB | 2024-Dec-13 20:44 |
soqt-1.6.3-r0.apk | 238.6 KiB | 2024-Dec-13 20:44 |
coin-4.0.3-r0.apk | 3.4 MiB | 2024-Dec-13 20:40 |
coin-dev-4.0.3-r0.apk | 326.2 KiB | 2024-Dec-13 20:40 |
py3-janus-pyc-1.2.0-r0.apk | 13.3 KiB | 2024-Dec-13 04:57 |
py3-janus-1.2.0-r0.apk | 12.4 KiB | 2024-Dec-13 04:57 |
cocogitto-doc-6.2.0-r1.apk | 35.9 KiB | 2024-Dec-12 21:08 |
cocogitto-6.2.0-r1.apk | 2.1 MiB | 2024-Dec-12 21:08 |
cocogitto-zsh-completion-6.2.0-r1.apk | 3.0 KiB | 2024-Dec-12 21:08 |
cocogitto-bash-completion-6.2.0-r1.apk | 3.0 KiB | 2024-Dec-12 21:08 |
cocogitto-fish-completion-6.2.0-r1.apk | 3.3 KiB | 2024-Dec-12 21:08 |
code-minimap-doc-0.6.7-r0.apk | 8.0 KiB | 2024-Dec-12 19:38 |
code-minimap-0.6.7-r0.apk | 370.9 KiB | 2024-Dec-12 19:38 |
gnome-latex-doc-3.47.0-r2.apk | 105.0 KiB | 2024-Dec-12 19:30 |
gnome-latex-lang-3.47.0-r2.apk | 530.3 KiB | 2024-Dec-12 19:30 |
gnome-latex-3.47.0-r2.apk | 368.2 KiB | 2024-Dec-12 19:30 |
sfwbar-1.0_beta16-r1.apk | 274.7 KiB | 2024-Dec-12 19:02 |
sfwbar-doc-1.0_beta16-r1.apk | 26.5 KiB | 2024-Dec-12 19:02 |
copyq-doc-9.1.0-r1.apk | 3.4 KiB | 2024-Dec-12 17:43 |
copyq-bash-completion-9.1.0-r1.apk | 2.2 KiB | 2024-Dec-12 17:43 |
copyq-9.1.0-r1.apk | 2.7 MiB | 2024-Dec-12 17:43 |
libantlr4-4.13.2-r0.apk | 495.7 KiB | 2024-Dec-12 11:20 |
libantlr4-dev-4.13.2-r0.apk | 950.5 KiB | 2024-Dec-12 11:20 |
py3-flask-qrcode-pyc-3.2.0-r0.apk | 6.2 KiB | 2024-Dec-12 06:32 |
py3-flask-qrcode-3.2.0-r0.apk | 18.0 KiB | 2024-Dec-12 06:32 |
py3-eventlet-0.38.1-r0.apk | 332.2 KiB | 2024-Dec-11 21:35 |
py3-eventlet-pyc-0.38.1-r0.apk | 335.6 KiB | 2024-Dec-11 21:35 |
openfortivpn-1.22.1-r0.apk | 41.1 KiB | 2024-Dec-11 21:31 |
openfortivpn-doc-1.22.1-r0.apk | 6.3 KiB | 2024-Dec-11 21:31 |
perl-net-async-redis-doc-6.006-r0.apk | 65.9 KiB | 2024-Dec-11 16:22 |
perl-net-async-redis-6.006-r0.apk | 58.4 KiB | 2024-Dec-11 16:22 |
php81-pecl-smbclient-1.2.0_pre-r0.apk | 20.9 KiB | 2024-Dec-10 19:02 |
par2cmdline-turbo-doc-1.2.0-r0.apk | 5.3 KiB | 2024-Dec-10 16:43 |
htmldoc-1.9.20-r0.apk | 2.3 MiB | 2024-Dec-10 16:43 |
htmldoc-doc-1.9.20-r0.apk | 98.0 KiB | 2024-Dec-10 16:43 |
par2cmdline-turbo-1.2.0-r0.apk | 280.5 KiB | 2024-Dec-10 16:43 |
py3-dunamai-pyc-1.23.0-r0.apk | 42.8 KiB | 2024-Dec-10 07:52 |
py3-dunamai-1.23.0-r0.apk | 26.2 KiB | 2024-Dec-10 07:52 |
qt6ct-0.9-r3.apk | 202.8 KiB | 2024-Dec-09 19:39 |
meson-tools-doc-0.1-r2.apk | 8.3 KiB | 2024-Dec-09 16:38 |
meson-tools-0.1-r2.apk | 8.5 KiB | 2024-Dec-09 16:38 |
planner-doc-0.14.92-r1.apk | 2.2 KiB | 2024-Dec-08 21:39 |
getting-things-gnome-0.6-r4.apk | 714.9 KiB | 2024-Dec-08 21:39 |
getting-things-gnome-doc-0.6-r4.apk | 497.4 KiB | 2024-Dec-08 21:39 |
py3-liblarch-3.2.0-r6.apk | 29.5 KiB | 2024-Dec-08 21:39 |
py3-liblarch-pyc-3.2.0-r6.apk | 49.6 KiB | 2024-Dec-08 21:39 |
endeavour-43.0-r2.apk | 195.1 KiB | 2024-Dec-08 21:39 |
endeavour-doc-43.0-r2.apk | 68.1 KiB | 2024-Dec-08 21:39 |
getting-things-gnome-lang-0.6-r4.apk | 228.7 KiB | 2024-Dec-08 21:39 |
planner-0.14.92-r1.apk | 358.1 KiB | 2024-Dec-08 21:39 |
endeavour-lang-43.0-r2.apk | 203.1 KiB | 2024-Dec-08 21:39 |
planner-lang-0.14.92-r1.apk | 824.6 KiB | 2024-Dec-08 21:39 |
endeavour-dev-43.0-r2.apk | 45.6 KiB | 2024-Dec-08 21:39 |
ocaml-menhir-doc-20220210-r3.apk | 609.8 KiB | 2024-Dec-08 16:20 |
ocaml-menhir-dev-20220210-r3.apk | 891.4 KiB | 2024-Dec-08 16:20 |
ocaml-menhir-20220210-r3.apk | 1.2 MiB | 2024-Dec-08 16:20 |
py3-flake8-builtins-2.5.0-r0.apk | 12.7 KiB | 2024-Dec-07 21:51 |
py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.9 KiB | 2024-Dec-07 21:51 |
py3-venusian-pyc-3.1.1-r0.apk | 12.5 KiB | 2024-Dec-07 21:14 |
py3-venusian-3.1.1-r0.apk | 14.4 KiB | 2024-Dec-07 21:14 |
py3-gtkspellcheck-pyc-5.0.3-r0.apk | 29.6 KiB | 2024-Dec-07 20:32 |
py3-gtkspellcheck-5.0.3-r0.apk | 44.7 KiB | 2024-Dec-07 20:32 |
dooit-extras-pyc-0.2.0-r0.apk | 22.8 KiB | 2024-Dec-07 20:23 |
dooit-pyc-3.1.0-r0.apk | 99.7 KiB | 2024-Dec-07 20:23 |
py3-textual-0.87.1-r0.apk | 567.4 KiB | 2024-Dec-07 20:23 |
py3-textual-pyc-0.87.1-r0.apk | 1.1 MiB | 2024-Dec-07 20:23 |
dooit-3.1.0-r0.apk | 44.6 KiB | 2024-Dec-07 20:23 |
dooit-extras-0.2.0-r0.apk | 12.7 KiB | 2024-Dec-07 20:23 |
hurl-6.0.0-r0.apk | 1.8 MiB | 2024-Dec-07 20:18 |
hurl-fish-completion-6.0.0-r0.apk | 3.4 KiB | 2024-Dec-07 20:18 |
hurl-bash-completion-6.0.0-r0.apk | 2.2 KiB | 2024-Dec-07 20:18 |
hurl-doc-6.0.0-r0.apk | 8.6 KiB | 2024-Dec-07 20:18 |
hurl-zsh-completion-6.0.0-r0.apk | 3.9 KiB | 2024-Dec-07 20:18 |
hurlfmt-6.0.0-r0.apk | 861.0 KiB | 2024-Dec-07 20:18 |
lomiri-history-service-dev-0.6-r2.apk | 11.1 KiB | 2024-Dec-07 12:41 |
lomiri-history-service-0.6-r2.apk | 386.0 KiB | 2024-Dec-07 12:41 |
py3-sqlmodel-0.0.22-r1.apk | 26.3 KiB | 2024-Dec-06 23:03 |
py3-sqlmodel-pyc-0.0.22-r1.apk | 41.2 KiB | 2024-Dec-06 23:03 |
py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.7 KiB | 2024-Dec-06 22:58 |
py3-flask-flatpages-0.8.3-r0.apk | 10.7 KiB | 2024-Dec-06 22:58 |
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk | 16.4 KiB | 2024-Dec-06 22:58 |
py3-sphinxcontrib-doxylink-1.12.3-r0.apk | 12.2 KiB | 2024-Dec-06 22:58 |
timeshift-lang-24.06.5-r0.apk | 916.7 KiB | 2024-Dec-05 20:47 |
timeshift-24.06.5-r0.apk | 473.2 KiB | 2024-Dec-05 20:47 |
timeshift-doc-24.06.5-r0.apk | 3.1 KiB | 2024-Dec-05 20:47 |
iwasm-2.2.0-r0.apk | 1.6 KiB | 2024-Dec-05 15:55 |
wamrc-2.2.0-r0.apk | 469.1 KiB | 2024-Dec-05 15:55 |
iwasm-gc-2.2.0-r0.apk | 213.0 KiB | 2024-Dec-05 15:55 |
wasm-micro-runtime-2.2.0-r0.apk | 1.2 KiB | 2024-Dec-05 15:55 |
drupal7-7.103-r0.apk | 3.3 MiB | 2024-Dec-04 17:27 |
drupal7-doc-7.103-r0.apk | 57.5 KiB | 2024-Dec-04 17:27 |
php81-pecl-pcov-1.0.12-r0.apk | 9.5 KiB | 2024-Dec-04 17:17 |
php82-pecl-excimer-1.2.3-r0.apk | 21.1 KiB | 2024-Dec-04 01:49 |
php83-pecl-excimer-1.2.3-r0.apk | 21.1 KiB | 2024-Dec-04 01:49 |
elementary-photos-8.0.1-r0.apk | 1.2 MiB | 2024-Dec-02 22:59 |
elementary-photos-lang-8.0.1-r0.apk | 1.0 MiB | 2024-Dec-02 22:59 |
py3-soappy-0.52.30-r0.apk | 47.3 KiB | 2024-Dec-02 22:53 |
py3-soappy-pyc-0.52.30-r0.apk | 95.3 KiB | 2024-Dec-02 22:53 |
lomiri-clock-app-lang-4.1.0-r0.apk | 449.1 KiB | 2024-Dec-02 11:46 |
lomiri-clock-app-4.1.0-r0.apk | 237.6 KiB | 2024-Dec-02 11:46 |
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.4 KiB | 2024-Dec-01 20:13 |
py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.7 KiB | 2024-Dec-01 20:13 |
py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-Dec-01 20:08 |
py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-Dec-01 20:08 |
py3-requests-kerberos-0.15.0-r0.apk | 12.1 KiB | 2024-Dec-01 17:24 |
py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.9 KiB | 2024-Dec-01 17:24 |
tldr-python-client-doc-3.3.0-r0.apk | 3.5 KiB | 2024-Dec-01 17:09 |
tldr-python-client-pyc-3.3.0-r0.apk | 14.2 KiB | 2024-Dec-01 17:09 |
tldr-python-client-3.3.0-r0.apk | 12.1 KiB | 2024-Dec-01 17:09 |
sstp-client-doc-1.0.20-r1.apk | 4.8 KiB | 2024-Dec-01 15:37 |
sstp-client-1.0.20-r1.apk | 42.3 KiB | 2024-Dec-01 15:37 |
rygel-0.44.1-r0.apk | 801.0 KiB | 2024-Dec-01 12:58 |
rygel-doc-0.44.1-r0.apk | 9.6 KiB | 2024-Dec-01 12:58 |
gupnp-dlna-dev-0.12.0-r0.apk | 24.3 KiB | 2024-Dec-01 12:58 |
rygel-dev-0.44.1-r0.apk | 42.6 KiB | 2024-Dec-01 12:58 |
gssdp-dev-1.6.3-r0.apk | 15.5 KiB | 2024-Dec-01 12:58 |
gssdp-1.6.3-r0.apk | 47.1 KiB | 2024-Dec-01 12:58 |
gupnp-dlna-0.12.0-r0.apk | 68.7 KiB | 2024-Dec-01 12:58 |
rygel-lang-0.44.1-r0.apk | 567.2 KiB | 2024-Dec-01 12:58 |
yaru-theme-olive-23.10.0-r1.apk | 759.1 KiB | 2024-Dec-01 02:29 |
yaru-icon-theme-23.10.0-r1.apk | 34.7 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-purple-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-theme-blue-23.10.0-r1.apk | 764.6 KiB | 2024-Dec-01 02:29 |
yaru-theme-viridian-23.10.0-r1.apk | 759.6 KiB | 2024-Dec-01 02:29 |
yaru-theme-purple-23.10.0-r1.apk | 756.5 KiB | 2024-Dec-01 02:29 |
yaru-theme-bark-23.10.0-r1.apk | 762.9 KiB | 2024-Dec-01 02:29 |
yaru-theme-red-23.10.0-r1.apk | 760.4 KiB | 2024-Dec-01 02:29 |
yaru-icon-theme-sage-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-bark-23.10.0-r1.apk | 1.0 MiB | 2024-Dec-01 02:29 |
yaru-sounds-23.10.0-r1.apk | 675.6 KiB | 2024-Dec-01 02:29 |
yaru-icon-theme-blue-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-theme-prussiangreen-23.10.0-r1.apk | 759.7 KiB | 2024-Dec-01 02:29 |
yaru-icon-theme-olive-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-theme-23.10.0-r1.apk | 839.5 KiB | 2024-Dec-01 02:29 |
yaru-shell-23.10.0-r1.apk | 735.5 KiB | 2024-Dec-01 02:29 |
yaru-icon-theme-red-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-theme-magenta-23.10.0-r1.apk | 761.4 KiB | 2024-Dec-01 02:29 |
yaru-theme-sage-23.10.0-r1.apk | 762.5 KiB | 2024-Dec-01 02:29 |
yaru-schemas-23.10.0-r1.apk | 1.8 KiB | 2024-Dec-01 02:29 |
yaru-icon-theme-prussiangreen-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-viridian-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-magenta-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-theme-hdpi-23.10.0-r1.apk | 73.2 KiB | 2024-Dec-01 02:29 |
yaru-icon-theme-mate-23.10.0-r1.apk | 1.2 MiB | 2024-Dec-01 02:29 |
yaru-theme-mate-23.10.0-r1.apk | 727.4 KiB | 2024-Dec-01 02:29 |
yaru-common-23.10.0-r1.apk | 4.5 KiB | 2024-Dec-01 02:29 |
py3-numpy-stl-pyc-3.2.0-r0.apk | 27.9 KiB | 2024-Dec-01 02:04 |
py3-numpy-stl-3.2.0-r0.apk | 21.0 KiB | 2024-Dec-01 02:04 |
py3-json5-pyc-0.9.25-r0.apk | 29.4 KiB | 2024-Nov-30 21:09 |
py3-ppk2-api-pyc-0.9.2-r0.apk | 16.5 KiB | 2024-Nov-30 21:09 |
py3-ppk2-api-0.9.2-r0.apk | 16.5 KiB | 2024-Nov-30 21:09 |
py3-json5-0.9.25-r0.apk | 25.0 KiB | 2024-Nov-30 21:09 |
py3-itemadapter-0.10.0-r0.apk | 11.3 KiB | 2024-Nov-30 20:42 |
py3-itemadapter-pyc-0.10.0-r0.apk | 12.7 KiB | 2024-Nov-30 20:42 |
py3-protego-0.3.1-r0.apk | 9.3 KiB | 2024-Nov-30 20:18 |
py3-protego-pyc-0.3.1-r0.apk | 11.6 KiB | 2024-Nov-30 20:18 |
py3-manuel-pyc-1.13.0-r0.apk | 25.6 KiB | 2024-Nov-30 20:14 |
py3-manuel-1.13.0-r0.apk | 39.1 KiB | 2024-Nov-30 20:14 |
convert2json-doc-1.1.2-r0.apk | 10.7 KiB | 2024-Nov-30 11:15 |
convert2json-1.1.2-r0.apk | 2.3 MiB | 2024-Nov-30 11:15 |
exabgp-openrc-4.2.22-r0.apk | 2.2 KiB | 2024-Nov-30 10:48 |
exabgp-pyc-4.2.22-r0.apk | 891.7 KiB | 2024-Nov-30 10:48 |
exabgp-4.2.22-r0.apk | 437.4 KiB | 2024-Nov-30 10:48 |
exabgp-doc-4.2.22-r0.apk | 8.0 KiB | 2024-Nov-30 10:48 |
py3-pcbnewtransition-0.4.2-r0.apk | 7.4 KiB | 2024-Nov-29 23:03 |
py3-pcbnewtransition-pyc-0.4.2-r0.apk | 9.5 KiB | 2024-Nov-29 23:03 |
dmarc-metrics-exporter-1.2.0-r0.apk | 25.3 KiB | 2024-Nov-29 22:02 |
dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.9 KiB | 2024-Nov-29 22:02 |
dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.5 KiB | 2024-Nov-29 22:02 |
haxe-4.3.6-r0.apk | 9.6 MiB | 2024-Nov-29 06:11 |
haxe-doc-4.3.6-r0.apk | 7.7 KiB | 2024-Nov-29 06:11 |
tuptime-doc-5.2.4-r1.apk | 3.7 KiB | 2024-Nov-28 22:44 |
tuptime-openrc-5.2.4-r1.apk | 1.7 KiB | 2024-Nov-28 22:44 |
tuptime-5.2.4-r1.apk | 13.8 KiB | 2024-Nov-28 22:44 |
certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.2 KiB | 2024-Nov-27 23:05 |
certbot-dns-njalla-2.0.0-r0.apk | 9.3 KiB | 2024-Nov-27 23:05 |
py3-tokenizers-pyc-0.21.0-r0.apk | 28.8 KiB | 2024-Nov-27 19:54 |
py3-tokenizers-0.21.0-r0.apk | 1.6 MiB | 2024-Nov-27 19:54 |
gmid-2.1.1-r0.apk | 233.7 KiB | 2024-Nov-27 19:26 |
gmid-doc-2.1.1-r0.apk | 14.4 KiB | 2024-Nov-27 19:26 |
gmid-openrc-2.1.1-r0.apk | 2.2 KiB | 2024-Nov-27 19:26 |
git-graph-0.6.0-r0.apk | 876.2 KiB | 2024-Nov-25 23:38 |
git-graph-doc-0.6.0-r0.apk | 6.2 KiB | 2024-Nov-25 23:38 |
py3-livestream-2.1.0-r0.apk | 766.2 KiB | 2024-Nov-25 22:22 |
py3-livestream-pyc-2.1.0-r0.apk | 29.9 KiB | 2024-Nov-25 22:22 |
atac-0.18.1-r0.apk | 4.7 MiB | 2024-Nov-25 21:58 |
tabiew-0.7.1-r0.apk | 7.7 MiB | 2024-Nov-25 21:51 |
py3-ovos-workshop-pyc-3.1.1-r0.apk | 155.7 KiB | 2024-Nov-25 12:41 |
py3-ovos-config-pyc-1.0.0-r0.apk | 33.9 KiB | 2024-Nov-25 12:41 |
py3-ovos-utils-0.5.4-r0.apk | 68.8 KiB | 2024-Nov-25 12:41 |
py3-ovos-utils-pyc-0.5.4-r0.apk | 123.9 KiB | 2024-Nov-25 12:41 |
ovos-gui-pyc-1.1.0-r0.apk | 38.4 KiB | 2024-Nov-25 12:41 |
ovos-core-pyc-0.2.17-r0.apk | 206.4 KiB | 2024-Nov-25 12:41 |
py3-ovos-config-1.0.0-r0.apk | 43.2 KiB | 2024-Nov-25 12:41 |
ovos-messagebus-0.0.8-r0.apk | 9.8 KiB | 2024-Nov-25 12:41 |
ovos-messagebus-pyc-0.0.8-r0.apk | 6.6 KiB | 2024-Nov-25 12:41 |
ovos-gui-1.1.0-r0.apk | 30.7 KiB | 2024-Nov-25 12:41 |
ovos-core-0.2.17-r0.apk | 369.2 KiB | 2024-Nov-25 12:41 |
py3-ovos-workshop-3.1.1-r0.apk | 87.1 KiB | 2024-Nov-25 12:41 |
php81-pecl-zephir_parser-1.7.0-r0.apk | 56.7 KiB | 2024-Nov-24 17:25 |
php82-pecl-zephir_parser-1.7.0-r0.apk | 56.8 KiB | 2024-Nov-24 17:25 |
qstardict-2.0.2-r1.apk | 465.1 KiB | 2024-Nov-24 03:04 |
qstardict-doc-2.0.2-r1.apk | 10.6 KiB | 2024-Nov-24 03:04 |
py3-pivy-0.6.9-r2.apk | 1.9 MiB | 2024-Nov-23 22:49 |
predict-2.3.1-r0.apk | 107.7 KiB | 2024-Nov-23 19:05 |
predict-doc-2.3.1-r0.apk | 16.1 KiB | 2024-Nov-23 19:05 |
py3-igraph-dev-0.11.8-r0.apk | 2.5 KiB | 2024-Nov-23 17:32 |
py3-igraph-0.11.8-r0.apk | 404.9 KiB | 2024-Nov-23 17:32 |
py3-igraph-pyc-0.11.8-r0.apk | 370.8 KiB | 2024-Nov-23 17:32 |
wlroots0.17-dev-0.17.4-r1.apk | 77.4 KiB | 2024-Nov-23 09:00 |
wlroots0.17-dbg-0.17.4-r1.apk | 1.3 MiB | 2024-Nov-23 09:00 |
wlroots0.17-0.17.4-r1.apk | 390.0 KiB | 2024-Nov-23 09:00 |
py3-dateparser-pyc-1.2.0-r0.apk | 333.7 KiB | 2024-Nov-23 04:14 |
py3-dateparser-1.2.0-r0.apk | 196.6 KiB | 2024-Nov-23 04:14 |
paprefs-lang-1.2-r2.apk | 37.9 KiB | 2024-Nov-22 22:04 |
paprefs-1.2-r2.apk | 32.7 KiB | 2024-Nov-22 22:04 |
perl-git-raw-doc-0.90-r2.apk | 117.2 KiB | 2024-Nov-22 18:16 |
perl-git-raw-0.90-r2.apk | 181.0 KiB | 2024-Nov-22 18:16 |
gimp-plugin-gmic-3.3.5-r1.apk | 1.4 MiB | 2024-Nov-21 22:55 |
gmic-libs-3.3.5-r1.apk | 2.1 MiB | 2024-Nov-21 22:55 |
gmic-qt-3.3.5-r1.apk | 1.7 MiB | 2024-Nov-21 22:55 |
gmic-dev-3.3.5-r1.apk | 7.7 KiB | 2024-Nov-21 22:55 |
gmic-3.3.5-r1.apk | 11.3 MiB | 2024-Nov-21 22:55 |
gmic-bash-completion-3.3.5-r1.apk | 28.4 KiB | 2024-Nov-21 22:55 |
gmic-doc-3.3.5-r1.apk | 218.8 KiB | 2024-Nov-21 22:55 |
cpplint-pyc-2.0.0-r0.apk | 96.2 KiB | 2024-Nov-21 19:52 |
cpplint-2.0.0-r0.apk | 76.9 KiB | 2024-Nov-21 19:52 |
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk | 9.6 KiB | 2024-Nov-21 13:32 |
py3-ovos-bus-client-1.0.4-r0.apk | 45.4 KiB | 2024-Nov-21 13:32 |
ovos-phal-pyc-0.2.7-r0.apk | 7.2 KiB | 2024-Nov-21 13:32 |
py3-ovos-bus-client-pyc-1.0.4-r0.apk | 81.4 KiB | 2024-Nov-21 13:32 |
ovos-audio-pyc-0.3.1-r0.apk | 36.0 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9.7 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> | 11.2 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-connectivity-events-0.1.1-..> | 8.6 KiB | 2024-Nov-21 13:32 |
ovos-audio-0.3.1-r0.apk | 135.6 KiB | 2024-Nov-21 13:32 |
py3-xdoctest-1.2.0-r0.apk | 312.5 KiB | 2024-Nov-21 13:32 |
py3-ovos-plugin-manager-0.6.0-r0.apk | 91.8 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-system-1.0.1-r0.apk | 14.5 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 11.9 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-connectivity-events-pyc-0...> | 5.0 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 95.1 KiB | 2024-Nov-21 13:32 |
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk | 177.7 KiB | 2024-Nov-21 13:32 |
ovos-phal-0.2.7-r0.apk | 10.3 KiB | 2024-Nov-21 13:32 |
smassh-pyc-3.1.6-r0.apk | 70.2 KiB | 2024-Nov-21 02:56 |
smassh-3.1.6-r0.apk | 72.4 KiB | 2024-Nov-21 02:56 |
neko-2.3.0-r0.apk | 449.7 KiB | 2024-Nov-20 22:26 |
neko-dev-2.3.0-r0.apk | 10.4 KiB | 2024-Nov-20 22:26 |
neko-doc-2.3.0-r0.apk | 19.9 KiB | 2024-Nov-20 22:26 |
php81-sysvshm-8.1.31-r0.apk | 6.9 KiB | 2024-Nov-20 01:22 |
php81-litespeed-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 01:22 |
php81-cgi-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 01:22 |
php81-session-8.1.31-r0.apk | 39.2 KiB | 2024-Nov-20 01:22 |
php81-opcache-8.1.31-r0.apk | 350.0 KiB | 2024-Nov-20 01:22 |
php81-curl-8.1.31-r0.apk | 38.5 KiB | 2024-Nov-20 01:22 |
php81-apache2-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 01:22 |
php81-sockets-8.1.31-r0.apk | 38.3 KiB | 2024-Nov-20 01:22 |
php81-intl-8.1.31-r0.apk | 150.4 KiB | 2024-Nov-20 01:22 |
php81-exif-8.1.31-r0.apk | 30.4 KiB | 2024-Nov-20 01:22 |
php81-ctype-8.1.31-r0.apk | 5.1 KiB | 2024-Nov-20 01:22 |
php81-iconv-8.1.31-r0.apk | 18.4 KiB | 2024-Nov-20 01:22 |
php81-xsl-8.1.31-r0.apk | 13.8 KiB | 2024-Nov-20 01:22 |
php81-pgsql-8.1.31-r0.apk | 47.9 KiB | 2024-Nov-20 01:22 |
php81-sysvmsg-8.1.31-r0.apk | 8.1 KiB | 2024-Nov-20 01:22 |
php81-mysqli-8.1.31-r0.apk | 42.0 KiB | 2024-Nov-20 01:22 |
php81-fileinfo-8.1.31-r0.apk | 383.7 KiB | 2024-Nov-20 01:22 |
php81-odbc-8.1.31-r0.apk | 25.4 KiB | 2024-Nov-20 01:22 |
php81-pdo_mysql-8.1.31-r0.apk | 13.9 KiB | 2024-Nov-20 01:22 |
php81-ffi-8.1.31-r0.apk | 68.6 KiB | 2024-Nov-20 01:22 |
php81-mysqlnd-8.1.31-r0.apk | 84.2 KiB | 2024-Nov-20 01:22 |
php81-pcntl-8.1.31-r0.apk | 14.3 KiB | 2024-Nov-20 01:22 |
php81-tidy-8.1.31-r0.apk | 20.1 KiB | 2024-Nov-20 01:22 |
php81-shmop-8.1.31-r0.apk | 6.3 KiB | 2024-Nov-20 01:22 |
php81-gettext-8.1.31-r0.apk | 6.2 KiB | 2024-Nov-20 01:22 |
php81-phpdbg-8.1.31-r0.apk | 1.9 MiB | 2024-Nov-20 01:22 |
php81-pdo_pgsql-8.1.31-r0.apk | 20.7 KiB | 2024-Nov-20 01:22 |
php81-sysvsem-8.1.31-r0.apk | 6.0 KiB | 2024-Nov-20 01:22 |
php81-ftp-8.1.31-r0.apk | 24.5 KiB | 2024-Nov-20 01:22 |
php81-fpm-8.1.31-r0.apk | 1.9 MiB | 2024-Nov-20 01:22 |
php81-calendar-8.1.31-r0.apk | 12.8 KiB | 2024-Nov-20 01:22 |
php81-doc-8.1.31-r0.apk | 67.6 KiB | 2024-Nov-20 01:22 |
php81-gd-8.1.31-r0.apk | 135.4 KiB | 2024-Nov-20 01:22 |
php81-imap-8.1.31-r0.apk | 35.1 KiB | 2024-Nov-20 01:22 |
php81-zip-8.1.31-r0.apk | 28.2 KiB | 2024-Nov-20 01:22 |
php81-common-8.1.31-r0.apk | 25.1 KiB | 2024-Nov-20 01:22 |
php81-gmp-8.1.31-r0.apk | 21.3 KiB | 2024-Nov-20 01:22 |
php81-dom-8.1.31-r0.apk | 65.5 KiB | 2024-Nov-20 01:22 |
php81-pspell-8.1.31-r0.apk | 8.4 KiB | 2024-Nov-20 01:22 |
php81-sqlite3-8.1.31-r0.apk | 22.3 KiB | 2024-Nov-20 01:22 |
php81-dev-8.1.31-r0.apk | 938.6 KiB | 2024-Nov-20 01:22 |
php81-ldap-8.1.31-r0.apk | 33.6 KiB | 2024-Nov-20 01:22 |
php81-pdo_sqlite-8.1.31-r0.apk | 13.9 KiB | 2024-Nov-20 01:22 |
php81-snmp-8.1.31-r0.apk | 22.3 KiB | 2024-Nov-20 01:22 |
php81-openssl-8.1.31-r0.apk | 80.2 KiB | 2024-Nov-20 01:22 |
php81-bz2-8.1.31-r0.apk | 10.6 KiB | 2024-Nov-20 01:22 |
php81-pear-8.1.31-r0.apk | 337.9 KiB | 2024-Nov-20 01:22 |
php81-bcmath-8.1.31-r0.apk | 17.2 KiB | 2024-Nov-20 01:22 |
php81-xmlwriter-8.1.31-r0.apk | 11.9 KiB | 2024-Nov-20 01:22 |
php81-posix-8.1.31-r0.apk | 11.7 KiB | 2024-Nov-20 01:22 |
php81-soap-8.1.31-r0.apk | 145.3 KiB | 2024-Nov-20 01:22 |
php81-embed-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 01:22 |
php81-simplexml-8.1.31-r0.apk | 24.6 KiB | 2024-Nov-20 01:22 |
php81-phar-8.1.31-r0.apk | 130.1 KiB | 2024-Nov-20 01:22 |
php81-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 01:22 |
php81-enchant-8.1.31-r0.apk | 8.8 KiB | 2024-Nov-20 01:22 |
php81-mbstring-8.1.31-r0.apk | 575.2 KiB | 2024-Nov-20 01:22 |
php81-xml-8.1.31-r0.apk | 20.0 KiB | 2024-Nov-20 01:22 |
php81-pdo-8.1.31-r0.apk | 43.8 KiB | 2024-Nov-20 01:22 |
php81-pdo_dblib-8.1.31-r0.apk | 12.7 KiB | 2024-Nov-20 01:22 |
php81-pdo_odbc-8.1.31-r0.apk | 13.5 KiB | 2024-Nov-20 01:22 |
php81-xmlreader-8.1.31-r0.apk | 13.9 KiB | 2024-Nov-20 01:22 |
php81-dba-8.1.31-r0.apk | 25.0 KiB | 2024-Nov-20 01:22 |
php81-tokenizer-8.1.31-r0.apk | 11.9 KiB | 2024-Nov-20 01:22 |
php81-sodium-8.1.31-r0.apk | 26.7 KiB | 2024-Nov-20 01:22 |
gufw-lang-24.04-r3.apk | 854.6 KiB | 2024-Nov-19 21:42 |
gufw-pyc-24.04-r3.apk | 64.6 KiB | 2024-Nov-19 21:42 |
gufw-doc-24.04-r3.apk | 4.5 KiB | 2024-Nov-19 21:42 |
gufw-24.04-r3.apk | 596.5 KiB | 2024-Nov-19 21:42 |
srain-1.8.0-r0.apk | 161.2 KiB | 2024-Nov-18 19:18 |
srain-lang-1.8.0-r0.apk | 35.1 KiB | 2024-Nov-18 19:18 |
perl-dbix-class-helpers-2.037000-r0.apk | 47.7 KiB | 2024-Nov-18 18:00 |
fplll-static-5.5.0-r0.apk | 6.5 MiB | 2024-Nov-18 18:00 |
py3-dbus-fast-doc-2.24.4-r0.apk | 5.4 KiB | 2024-Nov-18 18:00 |
linuxptp-4.4-r0.apk | 1.2 KiB | 2024-Nov-18 18:00 |
fplll-libs-5.5.0-r0.apk | 5.8 MiB | 2024-Nov-18 18:00 |
linuxptp-tz2alt-4.4-r0.apk | 19.7 KiB | 2024-Nov-18 18:00 |
linuxptp-ts2phc-4.4-r0.apk | 38.4 KiB | 2024-Nov-18 18:00 |
fplll-strategies-5.5.0-r0.apk | 1.7 MiB | 2024-Nov-18 18:00 |
linuxptp-hwstamp_ctl-4.4-r0.apk | 4.5 KiB | 2024-Nov-18 18:00 |
py3-requests-cache-1.2.1-r1.apk | 49.9 KiB | 2024-Nov-18 18:00 |
linuxptp-doc-4.4-r0.apk | 38.4 KiB | 2024-Nov-18 18:00 |
perl-dbix-class-helpers-doc-2.037000-r0.apk | 121.4 KiB | 2024-Nov-18 18:00 |
libbraiding-1.3.1-r0.apk | 108.5 KiB | 2024-Nov-18 18:00 |
py3-dbus-fast-2.24.4-r0.apk | 572.2 KiB | 2024-Nov-18 18:00 |
linuxptp-nsm-4.4-r0.apk | 32.4 KiB | 2024-Nov-18 18:00 |
git-extras-doc-7.3.0-r0.apk | 63.4 KiB | 2024-Nov-18 18:00 |
fplll-5.5.0-r0.apk | 53.1 KiB | 2024-Nov-18 18:00 |
git-extras-bash-completion-7.3.0-r0.apk | 2.8 KiB | 2024-Nov-18 18:00 |
fplll-dev-5.5.0-r0.apk | 78.0 KiB | 2024-Nov-18 18:00 |
py3-requests-cache-pyc-1.2.1-r1.apk | 94.2 KiB | 2024-Nov-18 18:00 |
linuxptp-phc2sys-4.4-r0.apk | 39.2 KiB | 2024-Nov-18 18:00 |
git-extras-7.3.0-r0.apk | 55.4 KiB | 2024-Nov-18 18:00 |
linuxptp-timemaster-4.4-r0.apk | 15.7 KiB | 2024-Nov-18 18:00 |
linuxptp-phc_ctl-4.4-r0.apk | 10.8 KiB | 2024-Nov-18 18:00 |
randrctl-pyc-1.10.0-r0.apk | 31.2 KiB | 2024-Nov-18 18:00 |
randrctl-1.10.0-r0.apk | 27.9 KiB | 2024-Nov-18 18:00 |
linuxptp-pmc-4.4-r0.apk | 34.9 KiB | 2024-Nov-18 18:00 |
linuxptp-ptp4l-4.4-r0.apk | 82.5 KiB | 2024-Nov-18 18:00 |
libbraiding-dev-1.3.1-r0.apk | 15.1 KiB | 2024-Nov-18 18:00 |
apk-autoupdate-doc-0_git20210421-r1.apk | 7.0 KiB | 2024-Nov-18 18:00 |
py3-dbus-fast-pyc-2.24.4-r0.apk | 125.6 KiB | 2024-Nov-18 18:00 |
apk-autoupdate-0_git20210421-r1.apk | 13.3 KiB | 2024-Nov-18 18:00 |
perl-test-utf8-doc-1.03-r0.apk | 4.9 KiB | 2024-Nov-16 15:11 |
perl-test-utf8-1.03-r0.apk | 5.6 KiB | 2024-Nov-16 15:11 |
cpu-x-lang-5.0.4-r1.apk | 216.3 KiB | 2024-Nov-16 15:11 |
cpu-x-bash-completion-5.0.4-r1.apk | 2.0 KiB | 2024-Nov-16 15:11 |
cpu-x-zsh-completion-5.0.4-r1.apk | 2.1 KiB | 2024-Nov-16 15:11 |
cpu-x-fish-completion-5.0.4-r1.apk | 2.2 KiB | 2024-Nov-16 15:11 |
cpu-x-5.0.4-r1.apk | 1.7 MiB | 2024-Nov-16 15:11 |
php81-pecl-maxminddb-1.12.0-r0.apk | 8.2 KiB | 2024-Nov-15 16:17 |
libigraph-dev-0.10.15-r0.apk | 90.5 KiB | 2024-Nov-15 16:15 |
libigraph-0.10.15-r0.apk | 1.3 MiB | 2024-Nov-15 16:15 |
tree-sitter-xml-doc-0.7.0-r0.apk | 2.2 KiB | 2024-Nov-14 13:12 |
tree-sitter-xml-0.7.0-r0.apk | 30.7 KiB | 2024-Nov-14 13:12 |
py3-mss-10.0.0-r0.apk | 50.6 KiB | 2024-Nov-14 13:12 |
nvim-lualine-0.0.0_git20241101-r0.apk | 59.5 KiB | 2024-Nov-13 23:09 |
nvim-lualine-doc-0.0.0_git20241101-r0.apk | 30.6 KiB | 2024-Nov-13 23:09 |
py3-minidb-2.0.8-r0.apk | 10.1 KiB | 2024-Nov-13 18:50 |
py3-minidb-pyc-2.0.8-r0.apk | 23.4 KiB | 2024-Nov-13 18:50 |
py3-pltable-1.1.0-r1.apk | 18.6 KiB | 2024-Nov-13 06:38 |
py3-pltable-pyc-1.1.0-r1.apk | 33.5 KiB | 2024-Nov-13 06:38 |
appcenter-lang-8.0.0-r0.apk | 258.3 KiB | 2024-Nov-12 21:55 |
appcenter-8.0.0-r0.apk | 411.4 KiB | 2024-Nov-12 21:55 |
contractor-0.3.5-r0.apk | 27.4 KiB | 2024-Nov-12 21:54 |
soundconverter-4.0.6-r0.apk | 164.7 KiB | 2024-Nov-12 15:33 |
soundconverter-doc-4.0.6-r0.apk | 4.5 KiB | 2024-Nov-12 15:33 |
soundconverter-pyc-4.0.6-r0.apk | 74.8 KiB | 2024-Nov-12 15:33 |
soundconverter-lang-4.0.6-r0.apk | 106.8 KiB | 2024-Nov-12 15:33 |
crun-vm-0.3.0-r0.apk | 1.1 MiB | 2024-Nov-12 11:35 |
crun-vm-doc-0.3.0-r0.apk | 12.9 KiB | 2024-Nov-12 11:35 |
gpscorrelate-cli-2.2-r0.apk | 27.0 KiB | 2024-Nov-12 11:29 |
gpscorrelate-lang-2.2-r0.apk | 17.0 KiB | 2024-Nov-12 11:29 |
thermald-2.5.8-r0.apk | 181.0 KiB | 2024-Nov-12 11:29 |
gpscorrelate-doc-2.2-r0.apk | 227.9 KiB | 2024-Nov-12 11:29 |
thermald-openrc-2.5.8-r0.apk | 1.7 KiB | 2024-Nov-12 11:29 |
gpscorrelate-2.2-r0.apk | 50.7 KiB | 2024-Nov-12 11:29 |
thermald-doc-2.5.8-r0.apk | 8.6 KiB | 2024-Nov-12 11:29 |
py3-xapp-2.4.2-r0.apk | 33.6 KiB | 2024-Nov-12 11:29 |
game-devices-udev-0.23-r0.apk | 6.2 KiB | 2024-Nov-12 10:57 |
py3-pyvcd-0.4.1-r0.apk | 22.7 KiB | 2024-Nov-11 21:46 |
py3-pyvcd-pyc-0.4.1-r0.apk | 40.5 KiB | 2024-Nov-11 21:46 |
kismet-linux-bluetooth-0.202307.1-r4.apk | 45.4 KiB | 2024-Nov-11 18:20 |
kismet-nxp-kw41z-0.202307.1-r4.apk | 43.3 KiB | 2024-Nov-11 18:20 |
kismet-linux-wifi-0.202307.1-r4.apk | 64.5 KiB | 2024-Nov-11 18:20 |
kismet-logtools-0.202307.1-r4.apk | 1.2 MiB | 2024-Nov-11 18:20 |
kismet-0.202307.1-r4.apk | 11.8 MiB | 2024-Nov-11 18:20 |
kismet-nrf-51822-0.202307.1-r4.apk | 41.8 KiB | 2024-Nov-11 18:20 |
py3-marisa-trie-1.2.1-r0.apk | 138.8 KiB | 2024-Nov-11 13:13 |
grcov-0.8.20-r0.apk | 1.9 MiB | 2024-Nov-11 10:03 |
nvim-plenary-0.1.4_git20240917-r0.apk | 102.0 KiB | 2024-Nov-11 04:07 |
nvim-plenary-doc-0.1.4_git20240917-r0.apk | 10.3 KiB | 2024-Nov-11 04:07 |
nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7.1 KiB | 2024-Nov-11 03:58 |
nvim-web-devicons-0.100_git20241011-r0.apk | 26.8 KiB | 2024-Nov-11 03:58 |
i3status-rust-0.33.2-r0.apk | 4.1 MiB | 2024-Nov-11 03:20 |
i3status-rust-doc-0.33.2-r0.apk | 33.5 KiB | 2024-Nov-11 03:20 |
php81-pecl-xlswriter-1.5.8-r0.apk | 228.8 KiB | 2024-Nov-11 01:44 |
elementary-sound-theme-1.1.0-r0.apk | 83.1 KiB | 2024-Nov-10 22:08 |
elementary-videos-8.0.1-r0.apk | 113.8 KiB | 2024-Nov-10 22:07 |
elementary-videos-lang-8.0.1-r0.apk | 85.5 KiB | 2024-Nov-10 22:07 |
baikal-0.10.1-r0.apk | 1.2 MiB | 2024-Nov-10 17:10 |
baikal-sqlite-0.10.1-r0.apk | 1.4 KiB | 2024-Nov-10 17:10 |
baikal-pgsql-0.10.1-r0.apk | 1.2 KiB | 2024-Nov-10 17:10 |
baikal-mysql-0.10.1-r0.apk | 1.2 KiB | 2024-Nov-10 17:10 |
py3-caldav-1.4.0-r0.apk | 67.7 KiB | 2024-Nov-09 20:46 |
py3-caldav-pyc-1.4.0-r0.apk | 90.4 KiB | 2024-Nov-09 20:46 |
droidcam-gui-2.1.3-r1.apk | 27.9 KiB | 2024-Nov-08 21:25 |
droidcam-2.1.3-r1.apk | 18.4 KiB | 2024-Nov-08 21:25 |
php81-pecl-brotli-0.15.2-r0.apk | 11.7 KiB | 2024-Nov-08 20:36 |
piglit-0_git20241106-r0.apk | 89.0 MiB | 2024-Nov-08 06:18 |
hexer-dev-1.4.0-r16.apk | 7.3 KiB | 2024-Nov-08 00:09 |
mapnik-doc-3.1.0-r29.apk | 134.8 KiB | 2024-Nov-08 00:09 |
mapnik-3.1.0-r29.apk | 11.2 MiB | 2024-Nov-08 00:09 |
hexer-1.4.0-r16.apk | 61.1 KiB | 2024-Nov-08 00:09 |
mapnik-dev-3.1.0-r29.apk | 454.1 KiB | 2024-Nov-08 00:09 |
perl-nice-try-1.3.15-r0.apk | 27.5 KiB | 2024-Nov-07 02:16 |
perl-nice-try-doc-1.3.15-r0.apk | 12.1 KiB | 2024-Nov-07 02:16 |
neofetch-doc-7.1.0-r2.apk | 6.2 KiB | 2024-Nov-06 21:46 |
neofetch-7.1.0-r2.apk | 85.7 KiB | 2024-Nov-06 21:46 |
php81-pecl-zstd-0.14.0-r0.apk | 14.2 KiB | 2024-Nov-06 14:44 |
py3-zimscraperlib-pyc-3.4.0-r0.apk | 68.4 KiB | 2024-Nov-06 09:41 |
py3-zimscraperlib-3.4.0-r0.apk | 51.6 KiB | 2024-Nov-06 09:41 |
plots-0.7.0-r1.apk | 515.7 KiB | 2024-Nov-06 09:41 |
py3-pyglm-2.7.3-r0.apk | 1.1 MiB | 2024-Nov-06 09:41 |
beancount-language-server-1.3.6-r0.apk | 1.3 MiB | 2024-Nov-05 23:38 |
py3-pdal-3.4.5-r0.apk | 186.2 KiB | 2024-Nov-05 21:54 |
py3-pdal-pyc-3.4.5-r0.apk | 13.0 KiB | 2024-Nov-05 21:54 |
e16-1.0.30-r0.apk | 781.8 KiB | 2024-Nov-05 13:22 |
e16-doc-1.0.30-r0.apk | 27.3 KiB | 2024-Nov-05 13:22 |
e16-lang-1.0.30-r0.apk | 379.6 KiB | 2024-Nov-05 13:22 |
php81-pecl-rdkafka-6.0.5-r0.apk | 36.7 KiB | 2024-Nov-04 12:52 |
py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.9 KiB | 2024-Nov-04 12:28 |
py3-aiohttp-remotes-1.3.0-r0.apk | 10.0 KiB | 2024-Nov-04 12:28 |
avara-0.7.1-r1.apk | 21.4 MiB | 2024-Nov-04 08:53 |
nvim-treesitter-0.9.3-r0.apk | 388.2 KiB | 2024-Nov-04 03:05 |
nvim-treesitter-doc-0.9.3-r0.apk | 29.7 KiB | 2024-Nov-04 03:05 |
flawz-fish-completion-0.3.0-r0.apk | 1.9 KiB | 2024-Nov-03 21:06 |
flawz-zsh-completion-0.3.0-r0.apk | 2.2 KiB | 2024-Nov-03 21:06 |
flawz-bash-completion-0.3.0-r0.apk | 2.1 KiB | 2024-Nov-03 21:06 |
flawz-doc-0.3.0-r0.apk | 6.0 KiB | 2024-Nov-03 21:06 |
flawz-0.3.0-r0.apk | 1.2 MiB | 2024-Nov-03 21:06 |
spiritvnc-0.6.5-r0.apk | 49.2 KiB | 2024-Nov-03 05:09 |
librespot-0.6.0-r0.apk | 2.8 MiB | 2024-Nov-01 21:59 |
librespot-openrc-0.6.0-r0.apk | 1.8 KiB | 2024-Nov-01 21:59 |
py3-sh-pyc-2.1.0-r0.apk | 54.9 KiB | 2024-Nov-01 15:14 |
py3-sh-2.1.0-r0.apk | 37.8 KiB | 2024-Nov-01 15:14 |
tauri-cli-1.6.2-r0.apk | 4.8 MiB | 2024-Nov-01 00:07 |
qmk-cli-pyc-1.1.6-r0.apk | 20.3 KiB | 2024-Oct-31 23:17 |
qmk-cli-1.1.6-r0.apk | 14.1 KiB | 2024-Oct-31 23:17 |
libideviceactivation-doc-1.1.1-r5.apk | 2.2 KiB | 2024-Oct-30 22:44 |
libirecovery-dev-1.2.1-r0.apk | 4.1 KiB | 2024-Oct-30 22:44 |
libideviceactivation-dev-1.1.1-r5.apk | 3.3 KiB | 2024-Oct-30 22:44 |
ifuse-doc-1.1.4-r5.apk | 2.3 KiB | 2024-Oct-30 22:44 |
usbmuxd-doc-1.1.1-r8.apk | 2.9 KiB | 2024-Oct-30 22:44 |
libirecovery-progs-1.2.1-r0.apk | 8.4 KiB | 2024-Oct-30 22:44 |
libideviceactivation-1.1.1-r5.apk | 17.5 KiB | 2024-Oct-30 22:44 |
usbmuxd-1.1.1-r8.apk | 35.4 KiB | 2024-Oct-30 22:44 |
ideviceinstaller-1.1.1-r4.apk | 14.6 KiB | 2024-Oct-30 22:44 |
ideviceinstaller-doc-1.1.1-r4.apk | 2.5 KiB | 2024-Oct-30 22:44 |
idevicerestore-1.0.0-r4.apk | 83.8 KiB | 2024-Oct-30 22:44 |
idevicerestore-doc-1.0.0-r4.apk | 2.5 KiB | 2024-Oct-30 22:44 |
libirecovery-1.2.1-r0.apk | 23.4 KiB | 2024-Oct-30 22:44 |
ifuse-1.1.4-r5.apk | 10.8 KiB | 2024-Oct-30 22:44 |
evolution-on-3.24.4-r0.apk | 10.8 KiB | 2024-Oct-30 14:24 |
normaliz-dev-3.10.4-r0.apk | 72.5 KiB | 2024-Oct-30 12:50 |
normaliz-libs-3.10.4-r0.apk | 2.9 MiB | 2024-Oct-30 12:50 |
normaliz-3.10.4-r0.apk | 45.9 KiB | 2024-Oct-30 12:50 |
perl-snmp-info-3.972002-r0.apk | 332.7 KiB | 2024-Oct-30 06:00 |
perl-dbix-class-candy-0.005004-r0.apk | 7.9 KiB | 2024-Oct-30 06:00 |
perl-snmp-info-doc-3.972002-r0.apk | 378.8 KiB | 2024-Oct-30 06:00 |
perl-dbix-class-candy-doc-0.005004-r0.apk | 9.5 KiB | 2024-Oct-30 06:00 |
elementary-music-lang-8.0.0-r0.apk | 47.5 KiB | 2024-Oct-28 22:06 |
elementary-music-8.0.0-r0.apk | 75.4 KiB | 2024-Oct-28 22:06 |
elementary-calculator-lang-8.0.0-r0.apk | 57.3 KiB | 2024-Oct-28 22:05 |
elementary-calculator-8.0.0-r0.apk | 71.4 KiB | 2024-Oct-28 22:05 |
py3-bite-parser-0.2.5-r0.apk | 13.6 KiB | 2024-Oct-28 21:51 |
py3-bite-parser-pyc-0.2.5-r0.apk | 23.5 KiB | 2024-Oct-28 21:51 |
wlroots0.16-dev-0.16.2-r0.apk | 70.6 KiB | 2024-Oct-28 13:47 |
wlroots0.16-dbg-0.16.2-r0.apk | 1.2 MiB | 2024-Oct-28 13:47 |
wlroots0.16-0.16.2-r0.apk | 347.7 KiB | 2024-Oct-28 13:47 |
php84-pecl-uv-0.3.0-r0.apk | 49.3 KiB | 2024-Oct-28 12:47 |
makedumpfile-openrc-1.7.6-r0.apk | 2.9 KiB | 2024-Oct-28 04:12 |
makedumpfile-1.7.6-r0.apk | 194.0 KiB | 2024-Oct-28 04:12 |
makedumpfile-doc-1.7.6-r0.apk | 23.6 KiB | 2024-Oct-28 04:12 |
rust-script-0.35.0-r0.apk | 920.3 KiB | 2024-Oct-27 16:29 |
elementary-camera-8.0.0-r0.apk | 86.4 KiB | 2024-Oct-27 14:19 |
elementary-camera-lang-8.0.0-r0.apk | 33.6 KiB | 2024-Oct-27 14:19 |
elementary-feedback-8.0.0-r0.apk | 44.4 KiB | 2024-Oct-27 14:17 |
elementary-feedback-lang-8.0.0-r0.apk | 43.5 KiB | 2024-Oct-27 14:17 |
zfsbootmenu-doc-2.3.0-r1.apk | 15.8 KiB | 2024-Oct-25 20:13 |
znc-push-0_git20220823-r8.apk | 97.1 KiB | 2024-Oct-25 20:13 |
zita-njbridge-0.4.8-r1.apk | 31.8 KiB | 2024-Oct-25 20:13 |
zita-njbridge-doc-0.4.8-r1.apk | 5.2 KiB | 2024-Oct-25 20:13 |
zydis-4.1.0-r0.apk | 204.6 KiB | 2024-Oct-25 20:13 |
znc-clientbuffer-1.0.48-r8.apk | 19.3 KiB | 2024-Oct-25 20:13 |
zycore-doc-1.5.0-r0.apk | 394.1 KiB | 2024-Oct-25 20:13 |
zsh-histdb-skim-0.8.6-r0.apk | 746.0 KiB | 2024-Oct-25 20:13 |
znc-playback-0_git20210503-r8.apk | 20.9 KiB | 2024-Oct-25 20:13 |
zita-resampler-1.10.1-r0.apk | 18.7 KiB | 2024-Oct-25 20:13 |
zsh-fzf-tab-0_git20220331-r1.apk | 16.0 KiB | 2024-Oct-25 20:13 |
zydis-dev-4.1.0-r0.apk | 60.8 KiB | 2024-Oct-25 20:13 |
zfsbootmenu-2.3.0-r1.apk | 128.2 KiB | 2024-Oct-25 20:13 |
zita-resampler-doc-1.10.1-r0.apk | 4.1 KiB | 2024-Oct-25 20:13 |
zile-doc-2.6.2-r1.apk | 15.8 KiB | 2024-Oct-25 20:13 |
zile-2.6.2-r1.apk | 120.0 KiB | 2024-Oct-25 20:13 |
zsh-manydots-magic-0_git20230607-r1.apk | 2.9 KiB | 2024-Oct-25 20:13 |
zydis-doc-4.1.0-r0.apk | 1.6 MiB | 2024-Oct-25 20:13 |
zycore-1.5.0-r0.apk | 22.7 KiB | 2024-Oct-25 20:13 |
zita-resampler-dev-1.10.1-r0.apk | 3.4 KiB | 2024-Oct-25 20:13 |
zycore-dev-1.5.0-r0.apk | 38.4 KiB | 2024-Oct-25 20:13 |
znc-backlog-0_git20210503-r8.apk | 22.8 KiB | 2024-Oct-25 20:13 |
youki-0.4.1-r0.apk | 1.5 MiB | 2024-Oct-25 20:13 |
zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2024-Oct-25 20:13 |
yosys-0.42-r0.apk | 19.1 MiB | 2024-Oct-25 20:13 |
ytmdl-pyc-2024.08.15.1-r0.apk | 78.1 KiB | 2024-Oct-25 20:13 |
zarchive-libs-0.1.2-r2.apk | 26.5 KiB | 2024-Oct-25 20:13 |
yosys-dev-0.42-r0.apk | 118.7 KiB | 2024-Oct-25 20:13 |
yoshimi-2.3.2-r0.apk | 6.1 MiB | 2024-Oct-25 20:13 |
ytmdl-zsh-completion-2024.08.15.1-r0.apk | 2.1 KiB | 2024-Oct-25 20:13 |
zarchive-0.1.2-r2.apk | 17.3 KiB | 2024-Oct-25 20:13 |
ytmdl-2024.08.15.1-r0.apk | 50.0 KiB | 2024-Oct-25 20:13 |
z-1.12-r0.apk | 4.6 KiB | 2024-Oct-25 20:13 |
z-doc-1.12-r0.apk | 3.9 KiB | 2024-Oct-25 20:13 |
zarchive-dev-0.1.2-r2.apk | 6.8 KiB | 2024-Oct-25 20:13 |
youtube-viewer-3.11.1-r0.apk | 83.5 KiB | 2024-Oct-25 20:13 |
zapret-doc-0.0.0_git20220125-r1.apk | 98.5 KiB | 2024-Oct-25 20:13 |
zapret-openrc-0.0.0_git20220125-r1.apk | 2.1 KiB | 2024-Oct-25 20:13 |
zapret-0.0.0_git20220125-r1.apk | 89.9 KiB | 2024-Oct-25 20:13 |
yoshimi-doc-2.3.2-r0.apk | 4.5 MiB | 2024-Oct-25 20:13 |
ytmdl-bash-completion-2024.08.15.1-r0.apk | 2.2 KiB | 2024-Oct-25 20:13 |
youtube-viewer-gtk-3.11.1-r0.apk | 171.0 KiB | 2024-Oct-25 20:13 |
youki-dbg-0.4.1-r0.apk | 4.6 KiB | 2024-Oct-25 20:13 |
youtube-viewer-doc-3.11.1-r0.apk | 40.8 KiB | 2024-Oct-25 20:13 |
yodl-doc-4.02.00-r1.apk | 59.0 KiB | 2024-Oct-25 20:13 |
yodl-4.02.00-r1.apk | 152.3 KiB | 2024-Oct-25 20:13 |
ydcv-0.7-r8.apk | 20.0 KiB | 2024-Oct-25 20:13 |
ydcv-zsh-completion-0.7-r8.apk | 2.2 KiB | 2024-Oct-25 20:13 |
ydcv-pyc-0.7-r8.apk | 10.6 KiB | 2024-Oct-25 20:13 |
yeti-1.1-r0.apk | 816.0 KiB | 2024-Oct-25 20:13 |
xosview-1.24-r0.apk | 144.2 KiB | 2024-Oct-25 20:13 |
xfce4-mixer-doc-4.18.1-r2.apk | 2.5 KiB | 2024-Oct-25 20:13 |
wpa_actiond-1.4-r7.apk | 10.7 KiB | 2024-Oct-25 20:13 |
xfce4-mixer-lang-4.18.1-r2.apk | 58.8 KiB | 2024-Oct-25 20:13 |
xone-src-0.3_git20230517-r0.apk | 43.4 KiB | 2024-Oct-25 20:13 |
xva-img-1.5-r0.apk | 18.1 KiB | 2024-Oct-25 20:13 |
xkb-switch-doc-1.8.5-r0.apk | 1.9 KiB | 2024-Oct-25 20:13 |
xvidtune-doc-1.0.4-r0.apk | 4.2 KiB | 2024-Oct-25 20:13 |
xfd-1.1.4-r0.apk | 13.5 KiB | 2024-Oct-25 20:13 |
xendmail-0.4.3-r0.apk | 981.8 KiB | 2024-Oct-25 20:13 |
wsmancli-doc-2.6.2-r0.apk | 3.7 KiB | 2024-Oct-25 20:13 |
xvkbd-4.1-r2.apk | 293.0 KiB | 2024-Oct-25 20:13 |
xosview-doc-1.24-r0.apk | 12.5 KiB | 2024-Oct-25 20:13 |
xfe-doc-1.46.2-r0.apk | 4.0 KiB | 2024-Oct-25 20:13 |
yamkix-0.10.0-r1.apk | 14.2 KiB | 2024-Oct-25 20:13 |
xfce4-panel-profiles-lang-1.0.14-r1.apk | 44.3 KiB | 2024-Oct-25 20:13 |
xfe-lang-1.46.2-r0.apk | 533.7 KiB | 2024-Oct-25 20:13 |
x11docker-doc-7.6.0-r1.apk | 9.4 KiB | 2024-Oct-25 20:13 |
xf86-video-vboxvideo-1.0.0-r1.apk | 17.0 KiB | 2024-Oct-25 20:13 |
xmag-doc-1.0.8-r0.apk | 4.7 KiB | 2024-Oct-25 20:13 |
xgalaga-2.1.1.0-r1.apk | 276.4 KiB | 2024-Oct-25 20:13 |
xlhtml-doc-0.5.1-r0.apk | 2.5 KiB | 2024-Oct-25 20:13 |
xsane-0.999-r2.apk | 1.5 MiB | 2024-Oct-25 20:13 |
xsane-doc-0.999-r2.apk | 4.3 KiB | 2024-Oct-25 20:13 |
xisxwayland-2-r1.apk | 4.2 KiB | 2024-Oct-25 20:13 |
x11docker-7.6.0-r1.apk | 113.4 KiB | 2024-Oct-25 20:13 |
xsoldier-1.8-r2.apk | 67.4 KiB | 2024-Oct-25 20:13 |
xcompmgr-1.1.9-r0.apk | 15.9 KiB | 2024-Oct-25 20:13 |
xkb-switch-1.8.5-r0.apk | 17.4 KiB | 2024-Oct-25 20:13 |
xgalaga-doc-2.1.1.0-r1.apk | 2.5 KiB | 2024-Oct-25 20:13 |
xfce4-panel-profiles-doc-1.0.14-r1.apk | 19.4 KiB | 2024-Oct-25 20:13 |
xcompmgr-doc-1.1.9-r0.apk | 2.6 KiB | 2024-Oct-25 20:13 |
xfe-xfp-1.46.2-r0.apk | 255.3 KiB | 2024-Oct-25 20:13 |
xmp-4.2.0-r0.apk | 22.0 KiB | 2024-Oct-25 20:13 |
xfce4-hamster-plugin-1.17-r0.apk | 39.2 KiB | 2024-Oct-25 20:13 |
xvkbd-doc-4.1-r2.apk | 10.8 KiB | 2024-Oct-25 20:13 |
xtl-0.7.7-r0.apk | 110.6 KiB | 2024-Oct-25 20:13 |
xfce4-hamster-plugin-lang-1.17-r0.apk | 5.1 KiB | 2024-Oct-25 20:13 |
wput-0.6.2-r4.apk | 45.0 KiB | 2024-Oct-25 20:13 |
xvidtune-1.0.4-r0.apk | 17.2 KiB | 2024-Oct-25 20:13 |
xiccd-doc-0.3.0_git20211219-r1.apk | 3.3 KiB | 2024-Oct-25 20:13 |
xiccd-0.3.0_git20211219-r1.apk | 17.9 KiB | 2024-Oct-25 20:13 |
xmp-doc-4.2.0-r0.apk | 5.3 KiB | 2024-Oct-25 20:13 |
xfd-doc-1.1.4-r0.apk | 4.9 KiB | 2024-Oct-25 20:13 |
wordgrinder-0.8-r2.apk | 466.0 KiB | 2024-Oct-25 20:13 |
xfe-xfw-1.46.2-r0.apk | 298.3 KiB | 2024-Oct-25 20:13 |
xwaylandvideobridge-lang-0.4.0-r1.apk | 11.0 KiB | 2024-Oct-25 20:13 |
xf86-video-vboxvideo-doc-1.0.0-r1.apk | 3.2 KiB | 2024-Oct-25 20:13 |
xload-doc-1.1.4-r0.apk | 3.2 KiB | 2024-Oct-25 20:13 |
wput-doc-0.6.2-r4.apk | 8.2 KiB | 2024-Oct-25 20:13 |
xlhtml-0.5.1-r0.apk | 11.2 KiB | 2024-Oct-25 20:13 |
xwaylandvideobridge-0.4.0-r1.apk | 50.0 KiB | 2024-Oct-25 20:13 |
xsoldier-doc-1.8-r2.apk | 2.6 KiB | 2024-Oct-25 20:13 |
xfe-xfi-1.46.2-r0.apk | 278.4 KiB | 2024-Oct-25 20:13 |
xfe-1.46.2-r0.apk | 1.4 MiB | 2024-Oct-25 20:13 |
wroomd-0.1.0-r0.apk | 1008.8 KiB | 2024-Oct-25 20:13 |
wshowkeys-1.0-r0.apk | 14.1 KiB | 2024-Oct-25 20:13 |
wordgrinder-doc-0.8-r2.apk | 18.4 KiB | 2024-Oct-25 20:13 |
xcape-doc-1.2-r0.apk | 2.8 KiB | 2024-Oct-25 20:13 |
xdg-ninja-0.2.0.2-r0.apk | 70.5 KiB | 2024-Oct-25 20:13 |
wroomd-openrc-0.1.0-r0.apk | 1.7 KiB | 2024-Oct-25 20:13 |
xmppipe-0.16.0-r1.apk | 16.3 KiB | 2024-Oct-25 20:13 |
xsecurelock-doc-1.9.0-r1.apk | 17.8 KiB | 2024-Oct-25 20:13 |
xfce4-mixer-4.18.1-r2.apk | 89.8 KiB | 2024-Oct-25 20:13 |
xmag-1.0.8-r0.apk | 16.8 KiB | 2024-Oct-25 20:13 |
xendmail-doc-0.4.3-r0.apk | 2.5 KiB | 2024-Oct-25 20:13 |
xsecurelock-1.9.0-r1.apk | 65.0 KiB | 2024-Oct-25 20:13 |
xisxwayland-doc-2-r1.apk | 2.0 KiB | 2024-Oct-25 20:13 |
xsane-lang-0.999-r2.apk | 440.3 KiB | 2024-Oct-25 20:13 |
wsmancli-2.6.2-r0.apk | 17.6 KiB | 2024-Oct-25 20:13 |
xload-1.1.4-r0.apk | 6.8 KiB | 2024-Oct-25 20:13 |
wpa_actiond-openrc-1.4-r7.apk | 2.2 KiB | 2024-Oct-25 20:13 |
xcape-1.2-r0.apk | 7.3 KiB | 2024-Oct-25 20:13 |
yamkix-pyc-0.10.0-r1.apk | 11.8 KiB | 2024-Oct-25 20:13 |
xfce4-panel-profiles-1.0.14-r1.apk | 56.5 KiB | 2024-Oct-25 20:13 |
wok-lang-3.0.0-r6.apk | 16.0 KiB | 2024-Oct-25 20:13 |
wol-doc-0.7.1-r3.apk | 5.5 KiB | 2024-Oct-25 20:13 |
wlopm-doc-0.1.0-r0.apk | 2.0 KiB | 2024-Oct-25 20:13 |
wolfssh-dev-1.4.17-r0.apk | 173.2 KiB | 2024-Oct-25 20:13 |
wolfssh-1.4.17-r0.apk | 139.4 KiB | 2024-Oct-25 20:13 |
wk-adblock-0.0.4-r5.apk | 172.5 KiB | 2024-Oct-25 20:13 |
wl-clipboard-x11-5-r3.apk | 3.4 KiB | 2024-Oct-25 20:13 |
wlopm-0.1.0-r0.apk | 6.7 KiB | 2024-Oct-25 20:13 |
wk-adblock-doc-0.0.4-r5.apk | 2.1 KiB | 2024-Oct-25 20:13 |
wlclock-1.0.1-r0.apk | 17.4 KiB | 2024-Oct-25 20:13 |
wlavu-0_git20201101-r1.apk | 12.0 KiB | 2024-Oct-25 20:13 |
wl-clipboard-x11-doc-5-r3.apk | 2.9 KiB | 2024-Oct-25 20:13 |
wlroots0.15-0.15.1-r6.apk | 296.2 KiB | 2024-Oct-25 20:13 |
wlroots0.12-dbg-0.12.0-r1.apk | 862.3 KiB | 2024-Oct-25 20:13 |
wmi-client-1.3.16-r5.apk | 2.5 MiB | 2024-Oct-25 20:13 |
wlroots0.15-dev-0.15.1-r6.apk | 70.4 KiB | 2024-Oct-25 20:13 |
witchery-0.0.3-r2.apk | 3.2 KiB | 2024-Oct-25 20:13 |
wlroots0.15-dbg-0.15.1-r6.apk | 1.1 MiB | 2024-Oct-25 20:13 |
wok-3.0.0-r6.apk | 156.6 KiB | 2024-Oct-25 20:13 |
wol-lang-0.7.1-r3.apk | 8.2 KiB | 2024-Oct-25 20:13 |
wlroots0.12-dev-0.12.0-r1.apk | 60.7 KiB | 2024-Oct-25 20:13 |
wmctrl-1.07-r1.apk | 14.0 KiB | 2024-Oct-25 20:13 |
wlclock-doc-1.0.1-r0.apk | 3.3 KiB | 2024-Oct-25 20:13 |
wlroots0.12-0.12.0-r1.apk | 274.6 KiB | 2024-Oct-25 20:13 |
wol-0.7.1-r3.apk | 24.9 KiB | 2024-Oct-25 20:13 |
wmctrl-doc-1.07-r1.apk | 5.1 KiB | 2024-Oct-25 20:13 |
wiremapper-0.10.0-r0.apk | 21.6 KiB | 2024-Oct-25 20:13 |
wok-pyc-3.0.0-r6.apk | 118.7 KiB | 2024-Oct-25 20:13 |
wok-doc-3.0.0-r6.apk | 3.7 KiB | 2024-Oct-25 20:13 |
wiimms-iso-tools-doc-3.04a-r1.apk | 65.2 KiB | 2024-Oct-25 20:13 |
wch-isp-doc-0.4.1-r2.apk | 2.7 KiB | 2024-Oct-25 20:13 |
watershot-0.2.0-r0.apk | 1.7 MiB | 2024-Oct-25 20:13 |
wch-isp-udev-rules-0.4.1-r2.apk | 1.6 KiB | 2024-Oct-25 20:13 |
wayqt-dev-0.2.0-r0.apk | 17.8 KiB | 2024-Oct-25 20:13 |
weggli-0.2.4-r1.apk | 823.9 KiB | 2024-Oct-25 20:13 |
wiki-tui-doc-0.8.2-r1.apk | 4.6 KiB | 2024-Oct-25 20:13 |
waynergy-0.0.17-r0.apk | 46.5 KiB | 2024-Oct-25 20:13 |
wiki-tui-0.8.2-r1.apk | 1.5 MiB | 2024-Oct-25 20:13 |
way-displays-1.8.1-r2.apk | 82.1 KiB | 2024-Oct-25 20:13 |
wbg-1.2.0-r0.apk | 37.8 KiB | 2024-Oct-25 20:13 |
way-displays-doc-1.8.1-r2.apk | 4.1 KiB | 2024-Oct-25 20:13 |
wch-isp-0.4.1-r2.apk | 10.3 KiB | 2024-Oct-25 20:13 |
watchdog-doc-5.16-r2.apk | 14.2 KiB | 2024-Oct-25 20:13 |
watchbind-doc-0.2.1-r1.apk | 6.6 KiB | 2024-Oct-25 20:13 |
watchmate-0.5.2-r0.apk | 2.3 MiB | 2024-Oct-25 20:13 |
watchbind-0.2.1-r1.apk | 1.1 MiB | 2024-Oct-25 20:13 |
wayqt-0.2.0-r0.apk | 106.1 KiB | 2024-Oct-25 20:13 |
wiimms-iso-tools-3.04a-r1.apk | 3.7 MiB | 2024-Oct-25 20:13 |
wiimms-szs-tools-2.26a-r0.apk | 4.9 MiB | 2024-Oct-25 20:13 |
wiimms-szs-tools-doc-2.26a-r0.apk | 49.6 KiB | 2024-Oct-25 20:13 |
watchdog-5.16-r2.apk | 40.9 KiB | 2024-Oct-25 20:13 |
waylevel-1.0.0-r1.apk | 306.4 KiB | 2024-Oct-25 20:13 |
visidata-zsh-completion-2.11.1-r2.apk | 7.2 KiB | 2024-Oct-25 20:13 |
vym-doc-2.9.26-r0.apk | 3.4 MiB | 2024-Oct-25 20:13 |
vym-2.9.26-r0.apk | 2.9 MiB | 2024-Oct-25 20:13 |
voikko-fi-2.5-r0.apk | 1.6 MiB | 2024-Oct-25 20:13 |
volumeicon-lang-0.5.1-r1.apk | 3.8 KiB | 2024-Oct-25 20:13 |
vit-2.3.2-r1.apk | 80.5 KiB | 2024-Oct-25 20:13 |
visidata-doc-2.11.1-r2.apk | 15.9 KiB | 2024-Oct-25 20:13 |
wabt-doc-1.0.36-r0.apk | 13.7 KiB | 2024-Oct-25 20:13 |
volumeicon-0.5.1-r1.apk | 44.7 KiB | 2024-Oct-25 20:13 |
vkbasalt-doc-0.3.2.10-r0.apk | 3.1 KiB | 2024-Oct-25 20:13 |
viewnior-lang-1.8-r1.apk | 85.2 KiB | 2024-Oct-25 20:13 |
video-trimmer-0.9.0-r0.apk | 795.6 KiB | 2024-Oct-25 20:13 |
vidcutter-6.0.5.3-r0.apk | 2.8 MiB | 2024-Oct-25 20:13 |
vidcutter-pyc-6.0.5.3-r0.apk | 1.9 MiB | 2024-Oct-25 20:13 |
walk-sor-doc-0_git20190920-r1.apk | 7.8 KiB | 2024-Oct-25 20:13 |
vkbasalt-0.3.2.10-r0.apk | 408.2 KiB | 2024-Oct-25 20:13 |
wakeonlan-0.42-r0.apk | 4.5 KiB | 2024-Oct-25 20:13 |
vmtouch-1.3.1-r0.apk | 13.9 KiB | 2024-Oct-25 20:13 |
vidcutter-doc-6.0.5.3-r0.apk | 24.2 KiB | 2024-Oct-25 20:13 |
visidata-pyc-2.11.1-r2.apk | 497.4 KiB | 2024-Oct-25 20:13 |
vit-pyc-2.3.2-r1.apk | 151.5 KiB | 2024-Oct-25 20:13 |
vim-airline-0.11-r0.apk | 86.4 KiB | 2024-Oct-25 20:13 |
wakeonlan-doc-0.42-r0.apk | 7.5 KiB | 2024-Oct-25 20:13 |
video-trimmer-lang-0.9.0-r0.apk | 90.9 KiB | 2024-Oct-25 20:13 |
vile-9.8z-r0.apk | 2.1 MiB | 2024-Oct-25 20:13 |
vmtouch-doc-1.3.1-r0.apk | 8.0 KiB | 2024-Oct-25 20:13 |
vile-doc-9.8z-r0.apk | 15.0 KiB | 2024-Oct-25 20:13 |
vim-rust-305-r0.apk | 20.1 KiB | 2024-Oct-25 20:13 |
vim-airline-doc-0.11-r0.apk | 12.1 KiB | 2024-Oct-25 20:13 |
visidata-2.11.1-r2.apk | 248.4 KiB | 2024-Oct-25 20:13 |
viewnior-1.8-r1.apk | 75.1 KiB | 2024-Oct-25 20:13 |
walk-sor-0_git20190920-r1.apk | 5.6 KiB | 2024-Oct-25 20:13 |
wabt-1.0.36-r0.apk | 4.5 MiB | 2024-Oct-25 20:13 |
viewnior-doc-1.8-r1.apk | 2.1 KiB | 2024-Oct-25 20:13 |
vdr-plugin-dvbapi-2.2.5-r0.apk | 62.3 KiB | 2024-Oct-25 20:13 |
uxn-1.0-r0.apk | 42.5 KiB | 2024-Oct-25 20:13 |
vectoroids-doc-1.1.0-r2.apk | 2.3 KiB | 2024-Oct-25 20:13 |
vfd-configurations-0_git20230612-r0.apk | 24.8 KiB | 2024-Oct-25 20:13 |
vcsh-bash-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-25 20:13 |
vcstool-zsh-completion-0.3.0-r5.apk | 1.7 KiB | 2024-Oct-25 20:13 |
uucp-1.07-r6.apk | 479.2 KiB | 2024-Oct-25 20:13 |
vcstool-0.3.0-r5.apk | 34.8 KiB | 2024-Oct-25 20:13 |
vcsh-zsh-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-25 20:13 |
vcstool-tcsh-completion-0.3.0-r5.apk | 1.6 KiB | 2024-Oct-25 20:13 |
varnish-modules-doc-0.24.0-r0.apk | 21.3 KiB | 2024-Oct-25 20:13 |
varnish-modules-0.24.0-r0.apk | 39.2 KiB | 2024-Oct-25 20:13 |
vera++-1.3.0-r10.apk | 261.0 KiB | 2024-Oct-25 20:13 |
vcsh-doc-2.0.5-r0.apk | 27.2 KiB | 2024-Oct-25 20:13 |
vbindiff-doc-3.0_beta5-r1.apk | 5.5 KiB | 2024-Oct-25 20:13 |
vectoroids-1.1.0-r2.apk | 281.4 KiB | 2024-Oct-25 20:13 |
uxn-doc-1.0-r0.apk | 4.2 KiB | 2024-Oct-25 20:13 |
utop-full-2.9.1-r4.apk | 13.3 MiB | 2024-Oct-25 20:13 |
vbindiff-3.0_beta5-r1.apk | 21.6 KiB | 2024-Oct-25 20:13 |
vcstool-pyc-0.3.0-r5.apk | 57.7 KiB | 2024-Oct-25 20:13 |
vdr-plugin-dvbapi-lang-2.2.5-r0.apk | 2.5 KiB | 2024-Oct-25 20:13 |
uucp-doc-1.07-r6.apk | 118.1 KiB | 2024-Oct-25 20:13 |
vcsh-2.0.5-r0.apk | 8.8 KiB | 2024-Oct-25 20:13 |
vcstool-bash-completion-0.3.0-r5.apk | 1.8 KiB | 2024-Oct-25 20:13 |
ubase-doc-20200605-r3.apk | 21.2 KiB | 2024-Oct-25 20:13 |
ustr-static-1.0.4-r1.apk | 147.0 KiB | 2024-Oct-25 20:13 |
ustr-doc-1.0.4-r1.apk | 96.6 KiB | 2024-Oct-25 20:13 |
urlwatch-pyc-2.28-r2.apk | 101.4 KiB | 2024-Oct-25 20:13 |
utop-emacs-2.9.1-r4.apk | 12.8 KiB | 2024-Oct-25 20:13 |
ustream-ssl-20220116-r1.apk | 7.7 KiB | 2024-Oct-25 20:13 |
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.3 KiB | 2024-Oct-25 20:13 |
udpt-openrc-3.1.2-r0.apk | 1.8 KiB | 2024-Oct-25 20:13 |
urlwatch-doc-2.28-r2.apk | 33.2 KiB | 2024-Oct-25 20:13 |
urlwatch-2.28-r2.apk | 48.7 KiB | 2024-Oct-25 20:13 |
ustream-ssl-dev-20220116-r1.apk | 2.6 KiB | 2024-Oct-25 20:13 |
uranium-5.2.2-r3.apk | 595.5 KiB | 2024-Oct-25 20:13 |
typos-doc-1.23.2-r0.apk | 6.1 KiB | 2024-Oct-25 20:13 |
uasm-2.56.2-r0.apk | 273.0 KiB | 2024-Oct-25 20:13 |
uclient-dev-20210514-r0.apk | 3.2 KiB | 2024-Oct-25 20:13 |
utop-doc-2.9.1-r4.apk | 5.7 KiB | 2024-Oct-25 20:13 |
udpt-3.1.2-r0.apk | 715.8 KiB | 2024-Oct-25 20:13 |
ubase-20200605-r3.apk | 46.4 KiB | 2024-Oct-25 20:13 |
utop-common-2.9.1-r4.apk | 2.0 KiB | 2024-Oct-25 20:13 |
ustr-dev-1.0.4-r1.apk | 91.4 KiB | 2024-Oct-25 20:13 |
u1db-qt-0.1.8-r0.apk | 104.6 KiB | 2024-Oct-25 20:13 |
ustr-debug-1.0.4-r1.apk | 76.8 KiB | 2024-Oct-25 20:13 |
utop-2.9.1-r4.apk | 13.3 MiB | 2024-Oct-25 20:13 |
unicorn-dev-2.0.1-r4.apk | 10.0 MiB | 2024-Oct-25 20:13 |
ustr-1.0.4-r1.apk | 53.9 KiB | 2024-Oct-25 20:13 |
typos-1.23.2-r0.apk | 2.3 MiB | 2024-Oct-25 20:13 |
uclient-fetch-20210514-r0.apk | 10.2 KiB | 2024-Oct-25 20:13 |
ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16.2 KiB | 2024-Oct-25 20:13 |
unicorn-2.0.1-r4.apk | 8.5 MiB | 2024-Oct-25 20:13 |
uclient-20210514-r0.apk | 13.5 KiB | 2024-Oct-25 20:13 |
twemproxy-doc-0.5.0-r0.apk | 17.2 KiB | 2024-Oct-25 20:13 |
ttfautohint-doc-1.8.4-r0.apk | 8.1 KiB | 2024-Oct-25 20:13 |
twemproxy-0.5.0-r0.apk | 70.7 KiB | 2024-Oct-25 20:13 |
turnstile-doc-0.1.10-r3.apk | 5.7 KiB | 2024-Oct-25 20:13 |
tup-0.7.11-r0.apk | 271.1 KiB | 2024-Oct-25 20:13 |
ttfautohint-gui-1.8.4-r0.apk | 60.8 KiB | 2024-Oct-25 20:13 |
tui-journal-0.10.0-r0.apk | 1.9 MiB | 2024-Oct-25 20:13 |
turnstile-openrc-0.1.10-r3.apk | 1.8 KiB | 2024-Oct-25 20:13 |
tty-clock-2.3_git20240104-r0.apk | 8.4 KiB | 2024-Oct-25 20:13 |
tui-journal-doc-0.10.0-r0.apk | 6.9 KiB | 2024-Oct-25 20:13 |
ttfautohint-libs-1.8.4-r0.apk | 103.0 KiB | 2024-Oct-25 20:13 |
twiggy-0.6.0-r3.apk | 792.3 KiB | 2024-Oct-25 20:13 |
tsung-1.8.0-r2.apk | 730.2 KiB | 2024-Oct-25 20:13 |
ttfautohint-dev-1.8.4-r0.apk | 153.5 KiB | 2024-Oct-25 20:13 |
tty-clock-doc-2.3_git20240104-r0.apk | 3.1 KiB | 2024-Oct-25 20:13 |
tup-vim-0.7.11-r0.apk | 2.6 KiB | 2024-Oct-25 20:13 |
turnstile-0.1.10-r3.apk | 39.3 KiB | 2024-Oct-25 20:13 |
ttfautohint-1.8.4-r0.apk | 27.9 KiB | 2024-Oct-25 20:13 |
tup-doc-0.7.11-r0.apk | 20.9 KiB | 2024-Oct-25 20:13 |
trigger-rally-doc-0.6.7-r2.apk | 28.4 KiB | 2024-Oct-25 20:13 |
trigger-rally-data-0.6.7-r2.apk | 352.1 MiB | 2024-Oct-25 20:13 |
tre-dev-0.8.0-r2.apk | 5.2 KiB | 2024-Oct-25 20:12 |
tree-sitter-clojure-0.0.12-r0.apk | 22.8 KiB | 2024-Oct-25 20:12 |
tree-sitter-kotlin-0.3.8-r0.apk | 317.9 KiB | 2024-Oct-25 20:12 |
tre-0.8.0-r2.apk | 26.9 KiB | 2024-Oct-25 20:12 |
tremc-0.9.3-r0.apk | 48.2 KiB | 2024-Oct-25 20:12 |
tree-sitter-hcl-1.1.0-r1.apk | 42.0 KiB | 2024-Oct-25 20:12 |
trantor-doc-1.5.18-r0.apk | 2.6 KiB | 2024-Oct-25 20:12 |
tremc-doc-0.9.3-r0.apk | 2.6 KiB | 2024-Oct-25 20:12 |
tre-static-0.8.0-r2.apk | 28.1 KiB | 2024-Oct-25 20:12 |
tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
tree-sitter-caddy-0_git20230322-r0.apk | 70.2 KiB | 2024-Oct-25 20:12 |
transmission-remote-gtk-1.6.0-r0.apk | 151.3 KiB | 2024-Oct-25 20:12 |
trigger-rally-0.6.7-r2.apk | 316.8 KiB | 2024-Oct-25 20:12 |
tree-sitter-scheme-0.23.0-r0.apk | 23.0 KiB | 2024-Oct-25 20:12 |
tree-sitter-git-diff-0_git20230730-r0.apk | 10.0 KiB | 2024-Oct-25 20:12 |
trantor-1.5.18-r0.apk | 252.2 KiB | 2024-Oct-25 20:12 |
transmission-remote-gtk-doc-1.6.0-r0.apk | 4.2 KiB | 2024-Oct-25 20:12 |
tree-sitter-just-0_git20230318-r0.apk | 13.7 KiB | 2024-Oct-25 20:12 |
tree-sitter-make-0_git20211216-r2.apk | 41.0 KiB | 2024-Oct-25 20:12 |
tree-sitter-gleam-1.0.0-r0.apk | 42.1 KiB | 2024-Oct-25 20:12 |
tremc-zsh-completion-0.9.3-r0.apk | 1.6 KiB | 2024-Oct-25 20:12 |
tree-sitter-hare-0_git20230616-r1.apk | 32.8 KiB | 2024-Oct-25 20:12 |
tree-sitter-pascal-0.9.1-r0.apk | 81.3 KiB | 2024-Oct-25 20:12 |
tree-sitter-pascal-doc-0.9.1-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
tree-sitter-ron-0.2.0-r0.apk | 28.1 KiB | 2024-Oct-25 20:12 |
tree-sitter-scheme-doc-0.23.0-r0.apk | 2.2 KiB | 2024-Oct-25 20:12 |
tremc-bash-completion-0.9.3-r0.apk | 1.7 KiB | 2024-Oct-25 20:12 |
trantor-dev-1.5.18-r0.apk | 34.0 KiB | 2024-Oct-25 20:12 |
transmission-remote-gtk-lang-1.6.0-r0.apk | 106.0 KiB | 2024-Oct-25 20:12 |
theme.sh-1.1.5-r0.apk | 39.1 KiB | 2024-Oct-25 20:12 |
tncattach-doc-0.1.9-r1.apk | 3.9 KiB | 2024-Oct-25 20:12 |
topgit-bash-completion-0.19.13-r1.apk | 4.0 KiB | 2024-Oct-25 20:12 |
tmpmail-doc-1.2.3-r2.apk | 3.2 KiB | 2024-Oct-25 20:12 |
topgit-doc-0.19.13-r1.apk | 73.4 KiB | 2024-Oct-25 20:12 |
tnef-doc-1.4.18-r0.apk | 4.2 KiB | 2024-Oct-25 20:12 |
tinycbor-dev-0.6.0-r1.apk | 8.4 KiB | 2024-Oct-25 20:12 |
tmpmail-1.2.3-r2.apk | 7.0 KiB | 2024-Oct-25 20:12 |
timewarrior-1.7.1-r0.apk | 290.4 KiB | 2024-Oct-25 20:12 |
tiptop-2.3.1-r2.apk | 33.8 KiB | 2024-Oct-25 20:12 |
tpm2-pkcs11-pyc-1.9.1-r0.apk | 68.9 KiB | 2024-Oct-25 20:12 |
tinyscheme-1.42-r1.apk | 62.9 KiB | 2024-Oct-25 20:12 |
tnef-1.4.18-r0.apk | 25.1 KiB | 2024-Oct-25 20:12 |
timew-1.4.3-r1.apk | 293.6 KiB | 2024-Oct-25 20:12 |
tmate-2.4.0-r4.apk | 296.5 KiB | 2024-Oct-25 20:12 |
today-6.2.0-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
torrent-file-editor-0.3.18-r0.apk | 376.0 KiB | 2024-Oct-25 20:12 |
topgit-0.19.13-r1.apk | 126.7 KiB | 2024-Oct-25 20:12 |
timew-doc-1.4.3-r1.apk | 53.2 KiB | 2024-Oct-25 20:12 |
tiptop-doc-2.3.1-r2.apk | 7.1 KiB | 2024-Oct-25 20:12 |
thelounge-doc-4.4.3-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
tintin-2.02.31-r0.apk | 1.8 MiB | 2024-Oct-25 20:12 |
tmux-resurrect-4.0.0-r0.apk | 13.9 KiB | 2024-Oct-25 20:12 |
tmate-doc-2.4.0-r4.apk | 71.7 KiB | 2024-Oct-25 20:12 |
toapk-1.0-r0.apk | 10.8 KiB | 2024-Oct-25 20:12 |
tncattach-0.1.9-r1.apk | 22.8 KiB | 2024-Oct-25 20:12 |
tpm2-pkcs11-1.9.1-r0.apk | 127.9 KiB | 2024-Oct-25 20:12 |
tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2024-Oct-25 20:12 |
toml2json-1.3.1-r0.apk | 370.3 KiB | 2024-Oct-25 20:12 |
toybox-0.8.11-r1.apk | 284.3 KiB | 2024-Oct-25 20:12 |
timew-bash-completion-1.4.3-r1.apk | 2.8 KiB | 2024-Oct-25 20:12 |
today-doc-6.2.0-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
theme.sh-doc-1.1.5-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
tinycbor-0.6.0-r1.apk | 16.8 KiB | 2024-Oct-25 20:12 |
toss-1.1-r0.apk | 11.2 KiB | 2024-Oct-25 20:12 |
thunar-gtkhash-plugin-1.5-r0.apk | 25.7 KiB | 2024-Oct-25 20:12 |
thelounge-openrc-4.4.3-r0.apk | 2.0 KiB | 2024-Oct-25 20:12 |
timewarrior-doc-1.7.1-r0.apk | 22.2 KiB | 2024-Oct-25 20:12 |
toml2json-doc-1.3.1-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
thunarx-python-0.5.2-r2.apk | 10.0 KiB | 2024-Oct-25 20:12 |
thelounge-4.4.3-r0.apk | 28.0 MiB | 2024-Oct-25 20:12 |
thunarx-python-doc-0.5.2-r2.apk | 25.2 KiB | 2024-Oct-25 20:12 |
tpm2-pkcs11-dev-1.9.1-r0.apk | 1.9 KiB | 2024-Oct-25 20:12 |
thumbdrives-0.3.2-r2.apk | 10.9 KiB | 2024-Oct-25 20:12 |
termbox-static-1.1.2-r1.apk | 12.4 KiB | 2024-Oct-25 20:12 |
termcolor-2.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 20:12 |
thefuck-3.32-r5.apk | 83.3 KiB | 2024-Oct-25 20:12 |
theforceengine-1.09.540-r1.apk | 7.0 MiB | 2024-Oct-25 20:12 |
termbox-1.1.2-r1.apk | 11.3 KiB | 2024-Oct-25 20:12 |
tere-doc-1.6.0-r0.apk | 14.0 KiB | 2024-Oct-25 20:12 |
theforceengine-doc-1.09.540-r1.apk | 6.3 MiB | 2024-Oct-25 20:12 |
thefuck-pyc-3.32-r5.apk | 155.7 KiB | 2024-Oct-25 20:12 |
texlab-5.19.0-r0.apk | 8.6 MiB | 2024-Oct-25 20:12 |
termusic-mpv-0.7.11-r0.apk | 6.0 MiB | 2024-Oct-25 20:12 |
termcolor-dev-2.1.0-r0.apk | 6.8 KiB | 2024-Oct-25 20:12 |
termbox-dev-1.1.2-r1.apk | 5.7 KiB | 2024-Oct-25 20:12 |
tere-1.6.0-r0.apk | 1021.4 KiB | 2024-Oct-25 20:12 |
tayga-0.9.2-r0.apk | 24.8 KiB | 2024-Oct-25 20:12 |
tailspin-3.0.0-r0.apk | 1.2 MiB | 2024-Oct-25 20:12 |
tayga-doc-0.9.2-r0.apk | 5.6 KiB | 2024-Oct-25 20:12 |
tdrop-0.5.0-r0.apk | 11.8 KiB | 2024-Oct-25 20:12 |
tachyon-0.99_beta6-r1.apk | 116.0 KiB | 2024-Oct-25 20:12 |
tailspin-fish-completion-3.0.0-r0.apk | 2.1 KiB | 2024-Oct-25 20:12 |
tartube-2.5.0-r1.apk | 2.7 MiB | 2024-Oct-25 20:12 |
tailspin-zsh-completion-3.0.0-r0.apk | 2.5 KiB | 2024-Oct-25 20:12 |
tcl-curl-doc-7.22.0-r0.apk | 37.7 KiB | 2024-Oct-25 20:12 |
tailspin-bash-completion-3.0.0-r0.apk | 2.2 KiB | 2024-Oct-25 20:12 |
tachyon-scenes-0.99_beta6-r1.apk | 1.9 MiB | 2024-Oct-25 20:12 |
tdrop-doc-0.5.0-r0.apk | 8.9 KiB | 2024-Oct-25 20:12 |
tailspin-doc-3.0.0-r0.apk | 2.7 KiB | 2024-Oct-25 20:12 |
tartube-pyc-2.5.0-r1.apk | 1.1 MiB | 2024-Oct-25 20:12 |
tanidvr-dhav2mkv-1.4.1-r1.apk | 12.3 KiB | 2024-Oct-25 20:12 |
tanidvr-1.4.1-r1.apk | 24.8 KiB | 2024-Oct-25 20:12 |
tcl-curl-7.22.0-r0.apk | 32.2 KiB | 2024-Oct-25 20:12 |
subtitleeditor-doc-0.54.0-r3.apk | 2.9 KiB | 2024-Oct-25 20:12 |
subtitleeditor-0.54.0-r3.apk | 1.5 MiB | 2024-Oct-25 20:12 |
stw-doc-0.3-r0.apk | 2.5 KiB | 2024-Oct-25 20:12 |
swappy-1.5.1-r0.apk | 33.1 KiB | 2024-Oct-25 20:12 |
syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.6 KiB | 2024-Oct-25 20:12 |
sydbox-3.21.3-r0.apk | 1.4 MiB | 2024-Oct-25 20:12 |
surfraw-2.3.0-r0.apk | 78.7 KiB | 2024-Oct-25 20:12 |
t2sz-1.1.2-r0.apk | 9.5 KiB | 2024-Oct-25 20:12 |
swig3-doc-3.0.12-r3.apk | 3.6 KiB | 2024-Oct-25 20:12 |
surf-2.1-r3.apk | 22.5 KiB | 2024-Oct-25 20:12 |
swayhide-0.2.1-r2.apk | 263.0 KiB | 2024-Oct-25 20:12 |
surf-doc-2.1-r3.apk | 4.6 KiB | 2024-Oct-25 20:12 |
sylpheed-imap-notify-1.1.0-r2.apk | 8.5 KiB | 2024-Oct-25 20:12 |
subdl-pyc-0_git20230616-r1.apk | 14.1 KiB | 2024-Oct-25 20:12 |
sublime-music-0.12.0-r1.apk | 189.5 KiB | 2024-Oct-25 20:12 |
tabby-doc-3.1-r1.apk | 2.3 KiB | 2024-Oct-25 20:12 |
sydbox-oci-3.21.3-r0.apk | 1.8 MiB | 2024-Oct-25 20:12 |
synapse-bt-openrc-1.0-r4.apk | 1.8 KiB | 2024-Oct-25 20:12 |
stone-soup-0.32.1-r0.apk | 33.0 MiB | 2024-Oct-25 20:12 |
stw-0.3-r0.apk | 8.0 KiB | 2024-Oct-25 20:12 |
symengine-0.12.0-r0.apk | 2.9 MiB | 2024-Oct-25 20:12 |
symbiyosys-0.36-r0.apk | 37.6 KiB | 2024-Oct-25 20:12 |
swhkd-1.2.1-r0.apk | 1.1 MiB | 2024-Oct-25 20:12 |
supermin-doc-5.2.2-r2.apk | 9.4 KiB | 2024-Oct-25 20:12 |
sydbox-vim-3.21.3-r0.apk | 5.2 KiB | 2024-Oct-25 20:12 |
sudo-ldap-1.9.14-r1.apk | 680.2 KiB | 2024-Oct-25 20:12 |
sydbox-doc-3.21.3-r0.apk | 83.9 KiB | 2024-Oct-25 20:12 |
supermin-5.2.2-r2.apk | 493.3 KiB | 2024-Oct-25 20:12 |
swappy-lang-1.5.1-r0.apk | 3.6 KiB | 2024-Oct-25 20:12 |
swaks-doc-20240103.0-r0.apk | 49.6 KiB | 2024-Oct-25 20:12 |
sxcs-doc-1.1.0-r0.apk | 2.6 KiB | 2024-Oct-25 20:12 |
sydbox-utils-3.21.3-r0.apk | 6.3 MiB | 2024-Oct-25 20:12 |
svls-0.2.12-r0.apk | 3.4 MiB | 2024-Oct-25 20:12 |
surfraw-doc-2.3.0-r0.apk | 17.7 KiB | 2024-Oct-25 20:12 |
sublime-music-pyc-0.12.0-r1.apk | 302.2 KiB | 2024-Oct-25 20:12 |
swig3-3.0.12-r3.apk | 1.2 MiB | 2024-Oct-25 20:12 |
syncthing-gtk-doc-0.9.4.5-r2.apk | 2.2 KiB | 2024-Oct-25 20:12 |
synapse-bt-1.0-r4.apk | 1.1 MiB | 2024-Oct-25 20:12 |
subdl-0_git20230616-r1.apk | 8.7 KiB | 2024-Oct-25 20:12 |
sxcs-1.1.0-r0.apk | 8.3 KiB | 2024-Oct-25 20:12 |
subtitleeditor-dev-0.54.0-r3.apk | 1.5 KiB | 2024-Oct-25 20:12 |
synapse-bt-cli-1.0-r4.apk | 1013.4 KiB | 2024-Oct-25 20:12 |
swaks-20240103.0-r0.apk | 66.1 KiB | 2024-Oct-25 20:12 |
syncthing-gtk-0.9.4.5-r2.apk | 440.0 KiB | 2024-Oct-25 20:12 |
subliminal-pyc-2.2.1-r0.apk | 134.6 KiB | 2024-Oct-25 20:12 |
tabby-3.1-r1.apk | 31.0 KiB | 2024-Oct-25 20:12 |
swappy-doc-1.5.1-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
svls-doc-0.2.12-r0.apk | 2.2 KiB | 2024-Oct-25 20:12 |
subliminal-2.2.1-r0.apk | 68.3 KiB | 2024-Oct-25 20:12 |
sway-audio-idle-inhibit-0.1.2-r0.apk | 10.2 KiB | 2024-Oct-25 20:12 |
swhkd-doc-1.2.1-r0.apk | 6.2 KiB | 2024-Oct-25 20:12 |
startup-lang-2.0.3-r5.apk | 16.5 KiB | 2024-Oct-25 20:12 |
starfighter-2.4-r0.apk | 47.9 MiB | 2024-Oct-25 20:12 |
sthttpd-openrc-2.27.1-r2.apk | 2.0 KiB | 2024-Oct-25 20:12 |
steghide-doc-0.5.1.1-r0.apk | 13.7 KiB | 2024-Oct-25 20:12 |
startup-2.0.3-r5.apk | 410.9 KiB | 2024-Oct-25 20:12 |
steamguard-cli-zsh-completion-0.9.6-r0.apk | 3.6 KiB | 2024-Oct-25 20:12 |
startup-fish-completion-2.0.3-r5.apk | 5.4 KiB | 2024-Oct-25 20:12 |
steamguard-cli-0.9.6-r0.apk | 2.2 MiB | 2024-Oct-25 20:12 |
startup-bridge-dconf-2.0.3-r5.apk | 30.3 KiB | 2024-Oct-25 20:12 |
steghide-0.5.1.1-r0.apk | 161.0 KiB | 2024-Oct-25 20:12 |
steamguard-cli-bash-completion-0.9.6-r0.apk | 2.5 KiB | 2024-Oct-25 20:12 |
starfighter-doc-2.4-r0.apk | 21.6 KiB | 2024-Oct-25 20:12 |
sthttpd-doc-2.27.1-r2.apk | 18.2 KiB | 2024-Oct-25 20:12 |
sthttpd-2.27.1-r2.apk | 62.1 KiB | 2024-Oct-25 20:12 |
startup-bridge-udev-2.0.3-r5.apk | 30.1 KiB | 2024-Oct-25 20:12 |
startup-dev-2.0.3-r5.apk | 5.8 KiB | 2024-Oct-25 20:12 |
startup-doc-2.0.3-r5.apk | 47.6 KiB | 2024-Oct-25 20:12 |
startup-tools-2.0.3-r5.apk | 13.3 KiB | 2024-Oct-25 20:12 |
sshuttle-pyc-1.1.2-r0.apk | 100.7 KiB | 2024-Oct-25 20:12 |
ssss-0.5.7-r0.apk | 12.9 KiB | 2024-Oct-25 20:12 |
sregex-0.0.1-r1.apk | 22.6 KiB | 2024-Oct-25 20:12 |
ssdfs-tools-4.09-r0.apk | 97.2 KiB | 2024-Oct-25 20:12 |
ssss-doc-0.5.7-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
sshuttle-1.1.2-r0.apk | 62.2 KiB | 2024-Oct-25 20:12 |
stardict-help-3.0.6-r6.apk | 3.4 MiB | 2024-Oct-25 20:12 |
stardict-lang-3.0.6-r6.apk | 289.5 KiB | 2024-Oct-25 20:12 |
stardict-3.0.6-r6.apk | 977.2 KiB | 2024-Oct-25 20:12 |
ssh-honeypot-0.1.1-r1.apk | 8.5 KiB | 2024-Oct-25 20:12 |
sregex-dev-0.0.1-r1.apk | 27.2 KiB | 2024-Oct-25 20:12 |
ssh-honeypot-openrc-0.1.1-r1.apk | 2.1 KiB | 2024-Oct-25 20:12 |
stardict-doc-3.0.6-r6.apk | 2.2 KiB | 2024-Oct-25 20:12 |
ssh-tools-1.8-r0.apk | 25.6 KiB | 2024-Oct-25 20:12 |
sshuttle-doc-1.1.2-r0.apk | 8.5 KiB | 2024-Oct-25 20:12 |
ssdfs-tools-dev-4.09-r0.apk | 18.5 KiB | 2024-Oct-25 20:12 |
spotify-tui-0.25.0-r2.apk | 1.9 MiB | 2024-Oct-25 20:12 |
sqlar-doc-0_git20180107-r1.apk | 3.3 KiB | 2024-Oct-25 20:12 |
sqlar-0_git20180107-r1.apk | 13.2 KiB | 2024-Oct-25 20:12 |
sqliteodbc-0.99991-r0.apk | 88.1 KiB | 2024-Oct-25 20:12 |
sqm-scripts-1.6.0-r0.apk | 20.5 KiB | 2024-Oct-25 20:12 |
spread-sheet-widget-doc-0.10-r0.apk | 4.6 KiB | 2024-Oct-25 20:12 |
spread-sheet-widget-0.10-r0.apk | 46.0 KiB | 2024-Oct-25 20:12 |
spread-sheet-widget-dev-0.10-r0.apk | 327.0 KiB | 2024-Oct-25 20:12 |
spread-sheet-widget-dbg-0.10-r0.apk | 174.4 KiB | 2024-Oct-25 20:12 |
sqawk-0.24.0-r0.apk | 14.3 KiB | 2024-Oct-25 20:12 |
sqawk-doc-0.24.0-r0.apk | 113.1 KiB | 2024-Oct-25 20:12 |
sopwith-2.5.0-r0.apk | 48.2 KiB | 2024-Oct-25 20:12 |
somebar-1.0.3-r0.apk | 49.6 KiB | 2024-Oct-25 20:12 |
speedtest-doc-5.2.5-r1.apk | 18.4 KiB | 2024-Oct-25 20:12 |
spin-doc-6.5.2-r1.apk | 5.9 KiB | 2024-Oct-25 20:12 |
soundfont-vintage-dreams-waves-doc-2.1-r2.apk | 2.2 KiB | 2024-Oct-25 20:12 |
soundfont-vintage-dreams-waves-2.1-r2.apk | 90.8 KiB | 2024-Oct-25 20:12 |
speedtest-examples-5.2.5-r1.apk | 13.0 KiB | 2024-Oct-25 20:12 |
speedcrunch-0.12-r3.apk | 1.2 MiB | 2024-Oct-25 20:12 |
spnavcfg-1.1-r0.apk | 38.6 KiB | 2024-Oct-25 20:12 |
spike-1.1.0-r0.apk | 1.1 MiB | 2024-Oct-25 20:12 |
speedtest-5.2.5-r1.apk | 253.5 KiB | 2024-Oct-25 20:12 |
somebar-doc-1.0.3-r0.apk | 2.4 KiB | 2024-Oct-25 20:12 |
spark-2.8.3-r1.apk | 28.9 MiB | 2024-Oct-25 20:12 |
spice-html5-0.3.0-r1.apk | 438.5 KiB | 2024-Oct-25 20:12 |
spiped-1.6.2-r1.apk | 79.8 KiB | 2024-Oct-25 20:12 |
spin-6.5.2-r1.apk | 324.1 KiB | 2024-Oct-25 20:12 |
sopwith-doc-2.5.0-r0.apk | 14.9 KiB | 2024-Oct-25 20:12 |
solanum-lang-5.0.0-r0.apk | 40.3 KiB | 2024-Oct-25 20:12 |
soapy-sdr-remote-doc-0.5.2-r1.apk | 2.4 KiB | 2024-Oct-25 20:12 |
soapy-hackrf-0.3.4-r2.apk | 30.6 KiB | 2024-Oct-25 20:12 |
so-0.4.10-r0.apk | 2.0 MiB | 2024-Oct-25 20:12 |
soapy-sdr-remote-openrc-0.5.2-r1.apk | 1.7 KiB | 2024-Oct-25 20:12 |
soapy-sdr-remote-0.5.2-r1.apk | 214.3 KiB | 2024-Oct-25 20:12 |
solanum-5.0.0-r0.apk | 251.0 KiB | 2024-Oct-25 20:12 |
simp1e-cursors-0_git20211003-r0.apk | 741.1 KiB | 2024-Oct-25 20:12 |
sndfile-tools-doc-1.5-r1.apk | 361.0 KiB | 2024-Oct-25 20:12 |
simp1e-cursors-solarized-0_git20211003-r0.apk | 717.4 KiB | 2024-Oct-25 20:12 |
simp1e-cursors-dark-0_git20211003-r0.apk | 779.7 KiB | 2024-Oct-25 20:12 |
smile-2.9.5-r0.apk | 693.7 KiB | 2024-Oct-25 20:12 |
sloccount-doc-2.26-r3.apk | 59.4 KiB | 2024-Oct-25 20:12 |
sipgrep-2.2.0-r1.apk | 26.5 KiB | 2024-Oct-25 20:12 |
slidge-matridge-0.1.0-r0.apk | 29.7 KiB | 2024-Oct-25 20:12 |
snore-0.3.1-r0.apk | 4.5 KiB | 2024-Oct-25 20:12 |
slurm-doc-0.4.4-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
slidge-pyc-0.1.3-r0.apk | 285.0 KiB | 2024-Oct-25 20:12 |
snapraid-12.3-r0.apk | 272.5 KiB | 2024-Oct-25 20:12 |
simp1e-cursors-breeze-0_git20211003-r0.apk | 857.1 KiB | 2024-Oct-25 20:12 |
sloccount-2.26-r3.apk | 59.4 KiB | 2024-Oct-25 20:12 |
smile-lang-2.9.5-r0.apk | 23.7 KiB | 2024-Oct-25 20:12 |
snapraid-doc-12.3-r0.apk | 17.3 KiB | 2024-Oct-25 20:12 |
simh-3.11.1-r1.apk | 3.2 MiB | 2024-Oct-25 20:12 |
slidge-0.1.3-r0.apk | 144.6 KiB | 2024-Oct-25 20:12 |
slidge-openrc-0.1.3-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
slidge-matridge-pyc-0.1.0-r0.apk | 37.9 KiB | 2024-Oct-25 20:12 |
sndfile-tools-1.5-r1.apk | 39.3 KiB | 2024-Oct-25 20:12 |
slidge-matridge-openrc-0.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 20:12 |
snore-doc-0.3.1-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
slidge-doc-0.1.3-r0.apk | 4.5 KiB | 2024-Oct-25 20:12 |
slurm-0.4.4-r0.apk | 14.1 KiB | 2024-Oct-25 20:12 |
simp1e-cursors-snow-0_git20211003-r0.apk | 827.9 KiB | 2024-Oct-25 20:12 |
shellinabox-openrc-2.21-r3.apk | 3.5 KiB | 2024-Oct-25 20:12 |
sigrok-cli-0.7.2-r0.apk | 43.3 KiB | 2024-Oct-25 20:12 |
sgt-puzzles-0_git20230310-r2.apk | 2.6 MiB | 2024-Oct-25 20:12 |
shipments-0.3.0-r0.apk | 23.5 KiB | 2024-Oct-25 20:12 |
silc-client-1.1.11-r17.apk | 875.2 KiB | 2024-Oct-25 20:12 |
shine-3.1.1-r0.apk | 55.6 KiB | 2024-Oct-25 20:12 |
silc-client-doc-1.1.11-r17.apk | 82.8 KiB | 2024-Oct-25 20:12 |
sentinel-proxy-2.1.0-r0.apk | 43.9 KiB | 2024-Oct-25 20:12 |
sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2024-Oct-25 20:12 |
shutdown-clear-machine-id-1.0.0-r0.apk | 1.8 KiB | 2024-Oct-25 20:12 |
shc-4.0.3-r2.apk | 16.4 KiB | 2024-Oct-25 20:12 |
shfm-0.4.2-r1.apk | 4.0 KiB | 2024-Oct-25 20:12 |
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.1 KiB | 2024-Oct-25 20:12 |
sentinel-proxy-dev-2.1.0-r0.apk | 4.6 KiB | 2024-Oct-25 20:12 |
sedutil-doc-1.15.1-r1.apk | 3.1 KiB | 2024-Oct-25 20:12 |
seed7-vim-05.20240322-r0.apk | 4.0 KiB | 2024-Oct-25 20:12 |
sigma-0.23.1-r1.apk | 236.8 KiB | 2024-Oct-25 20:12 |
sentinel-proxy-openrc-2.1.0-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
setroot-2.0.2-r1.apk | 11.9 KiB | 2024-Oct-25 20:12 |
seed7-05.20240322-r0.apk | 10.0 MiB | 2024-Oct-25 20:12 |
seed7-doc-05.20240322-r0.apk | 1.6 MiB | 2024-Oct-25 20:12 |
sentinel-minipot-2.3.0-r1.apk | 42.9 KiB | 2024-Oct-25 20:12 |
sdparm-1.12-r1.apk | 146.9 KiB | 2024-Oct-25 20:12 |
shellinabox-doc-2.21-r3.apk | 19.4 KiB | 2024-Oct-25 20:12 |
sigma-pyc-0.23.1-r1.apk | 340.0 KiB | 2024-Oct-25 20:12 |
shellinabox-2.21-r3.apk | 118.4 KiB | 2024-Oct-25 20:12 |
seed7-nano-05.20240322-r0.apk | 2.5 KiB | 2024-Oct-25 20:12 |
sentinel-minipot-openrc-2.3.0-r1.apk | 2.6 KiB | 2024-Oct-25 20:12 |
sdparm-doc-1.12-r1.apk | 19.3 KiB | 2024-Oct-25 20:12 |
setroot-doc-2.0.2-r1.apk | 4.4 KiB | 2024-Oct-25 20:12 |
sflowtool-doc-6.02-r0.apk | 9.4 KiB | 2024-Oct-25 20:12 |
sedutil-1.15.1-r1.apk | 195.4 KiB | 2024-Oct-25 20:12 |
sflowtool-6.02-r0.apk | 40.5 KiB | 2024-Oct-25 20:12 |
shfm-doc-0.4.2-r1.apk | 6.1 KiB | 2024-Oct-25 20:12 |
schismtracker-20231029-r0.apk | 367.6 KiB | 2024-Oct-25 20:12 |
sct-2018.12.18-r1.apk | 3.8 KiB | 2024-Oct-25 20:12 |
scrypt-doc-1.3.2-r0.apk | 4.3 KiB | 2024-Oct-25 20:12 |
scooper-doc-1.3-r1.apk | 2.6 KiB | 2024-Oct-25 20:12 |
scrypt-1.3.2-r0.apk | 31.7 KiB | 2024-Oct-25 20:12 |
screenkey-pyc-1.5-r6.apk | 73.4 KiB | 2024-Oct-25 20:12 |
screenkey-1.5-r6.apk | 77.0 KiB | 2024-Oct-25 20:12 |
schismtracker-doc-20231029-r0.apk | 6.2 KiB | 2024-Oct-25 20:12 |
scap-workbench-1.2.1-r3.apk | 248.9 KiB | 2024-Oct-25 20:12 |
screenkey-doc-1.5-r6.apk | 11.0 KiB | 2024-Oct-25 20:12 |
scap-workbench-doc-1.2.1-r3.apk | 1.6 MiB | 2024-Oct-25 20:12 |
scooper-1.3-r1.apk | 503.7 KiB | 2024-Oct-25 20:12 |
sbase-0_git20210730-r3.apk | 118.9 KiB | 2024-Oct-25 20:12 |
sblim-sfcc-2.2.8-r3.apk | 54.7 KiB | 2024-Oct-25 20:12 |
sblim-sfcc-dev-2.2.8-r3.apk | 22.3 KiB | 2024-Oct-25 20:12 |
sblim-sfcc-doc-2.2.8-r3.apk | 34.6 KiB | 2024-Oct-25 20:12 |
sc-im-0.8.4-r0.apk | 161.6 KiB | 2024-Oct-25 20:12 |
sblim-wbemcli-1.6.3-r1.apk | 112.3 KiB | 2024-Oct-25 20:12 |
sblim-wbemcli-doc-1.6.3-r1.apk | 4.5 KiB | 2024-Oct-25 20:12 |
sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2024-Oct-25 20:12 |
sblg-0.5.11-r0.apk | 47.0 KiB | 2024-Oct-25 20:12 |
sbase-doc-0_git20210730-r3.apk | 58.2 KiB | 2024-Oct-25 20:12 |
sc-im-doc-0.8.4-r0.apk | 4.7 KiB | 2024-Oct-25 20:12 |
sacc-1.07-r0.apk | 15.9 KiB | 2024-Oct-25 20:12 |
sacc-doc-1.07-r0.apk | 2.9 KiB | 2024-Oct-25 20:12 |
saait-doc-0.8-r0.apk | 12.7 KiB | 2024-Oct-25 20:12 |
sandbar-0.1-r0.apk | 14.3 KiB | 2024-Oct-25 20:12 |
saait-0.8-r0.apk | 7.2 KiB | 2024-Oct-25 20:12 |
rmlint-2.10.2-r2.apk | 156.4 KiB | 2024-Oct-25 20:12 |
roswell-24.10.115-r0.apk | 109.0 KiB | 2024-Oct-25 20:12 |
rustic-zsh-completion-0.9.3-r0.apk | 13.0 KiB | 2024-Oct-25 20:12 |
rustic-fish-completion-0.9.3-r0.apk | 16.7 KiB | 2024-Oct-25 20:12 |
rtptools-1.22-r2.apk | 29.7 KiB | 2024-Oct-25 20:12 |
rtl-power-fftw-20200601-r4.apk | 64.1 KiB | 2024-Oct-25 20:12 |
rtl8821ce-src-5_git20230504-r0.apk | 4.3 MiB | 2024-Oct-25 20:12 |
rofi-pass-2.0.2-r2.apk | 8.8 KiB | 2024-Oct-25 20:12 |
s-dkim-sign-doc-0.6.2-r0.apk | 8.5 KiB | 2024-Oct-25 20:12 |
s-dkim-sign-0.6.2-r0.apk | 60.9 KiB | 2024-Oct-25 20:12 |
rtptools-doc-1.22-r2.apk | 12.6 KiB | 2024-Oct-25 20:12 |
ry-0.5.2-r1.apk | 4.6 KiB | 2024-Oct-25 20:12 |
runst-doc-0.1.7-r0.apk | 7.7 KiB | 2024-Oct-25 20:12 |
rss-email-0.5.0-r0.apk | 2.2 MiB | 2024-Oct-25 20:12 |
rmlint-doc-2.10.2-r2.apk | 17.8 KiB | 2024-Oct-25 20:12 |
rmlint-shredder-pyc-2.10.2-r2.apk | 124.5 KiB | 2024-Oct-25 20:12 |
rss-email-doc-0.5.0-r0.apk | 6.4 KiB | 2024-Oct-25 20:12 |
rustscan-2.3.0-r0.apk | 1.3 MiB | 2024-Oct-25 20:12 |
rvlprog-0.91-r2.apk | 29.2 KiB | 2024-Oct-25 20:12 |
s-postgray-0.8.3-r0.apk | 50.3 KiB | 2024-Oct-25 20:12 |
rpi-imager-1.9.0-r0.apk | 717.7 KiB | 2024-Oct-25 20:12 |
rtmidi-dev-6.0.0-r0.apk | 14.1 KiB | 2024-Oct-25 20:12 |
rmlint-shredder-2.10.2-r2.apk | 96.0 KiB | 2024-Oct-25 20:12 |
rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2024-Oct-25 20:12 |
rosdep-pyc-0.19.0-r6.apk | 119.0 KiB | 2024-Oct-25 20:12 |
rofi-blocks-0.1.0-r0.apk | 12.1 KiB | 2024-Oct-25 20:12 |
runst-0.1.7-r0.apk | 1.5 MiB | 2024-Oct-25 20:12 |
rtl-power-fftw-doc-20200601-r4.apk | 8.2 KiB | 2024-Oct-25 20:12 |
rofi-pass-doc-2.0.2-r2.apk | 5.0 KiB | 2024-Oct-25 20:12 |
rpi-imager-doc-1.9.0-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
rtw89-src-7_p20230725-r0.apk | 758.8 KiB | 2024-Oct-25 20:12 |
rustic-0.9.3-r0.apk | 6.0 MiB | 2024-Oct-25 20:12 |
rustdesk-server-openrc-1.1.10.3-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
ry-zsh-completion-0.5.2-r1.apk | 2.3 KiB | 2024-Oct-25 20:12 |
rofi-json-menu-0.2.0-r1.apk | 5.4 KiB | 2024-Oct-25 20:12 |
rosdep-0.19.0-r6.apk | 66.1 KiB | 2024-Oct-25 20:12 |
rpg-cli-1.2.0-r0.apk | 602.5 KiB | 2024-Oct-25 20:12 |
roswell-doc-24.10.115-r0.apk | 18.2 KiB | 2024-Oct-25 20:12 |
rustdesk-server-1.1.10.3-r0.apk | 2.4 MiB | 2024-Oct-25 20:12 |
ruby-libguestfs-1.52.0-r1.apk | 105.1 KiB | 2024-Oct-25 20:12 |
ry-bash-completion-0.5.2-r1.apk | 2.0 KiB | 2024-Oct-25 20:12 |
rustic-bash-completion-0.9.3-r0.apk | 8.6 KiB | 2024-Oct-25 20:12 |
s-postgray-doc-0.8.3-r0.apk | 9.6 KiB | 2024-Oct-25 20:12 |
rtmidi-6.0.0-r0.apk | 32.0 KiB | 2024-Oct-25 20:12 |
rmlint-lang-2.10.2-r2.apk | 18.9 KiB | 2024-Oct-25 20:12 |
river-shifttags-0.2.1-r0.apk | 5.9 KiB | 2024-Oct-25 20:12 |
rezolus-openrc-2.11.1-r3.apk | 2.1 KiB | 2024-Oct-25 20:12 |
rkdeveloptool-1.1.0-r1.apk | 58.0 KiB | 2024-Oct-25 20:12 |
restart-services-0.17.0-r0.apk | 11.5 KiB | 2024-Oct-25 20:12 |
rinetd-openrc-0.73-r0.apk | 1.7 KiB | 2024-Oct-25 20:12 |
rhasspy-nlu-0.4.0-r3.apk | 43.6 KiB | 2024-Oct-25 20:12 |
responder-3.1.5.0-r0.apk | 750.0 KiB | 2024-Oct-25 20:12 |
river-luatile-0.1.3-r0.apk | 420.2 KiB | 2024-Oct-25 20:12 |
rizin-cutter-2.3.2-r2.apk | 2.2 MiB | 2024-Oct-25 20:12 |
remind-caldav-0.8.0-r4.apk | 17.7 KiB | 2024-Oct-25 20:12 |
ripdrag-0.4.10-r0.apk | 342.6 KiB | 2024-Oct-25 20:12 |
restic.mk-0.4.0-r0.apk | 2.9 KiB | 2024-Oct-25 20:12 |
repgrep-0.15.0-r0.apk | 1.2 MiB | 2024-Oct-25 20:12 |
ripasso-cursive-0.6.5-r0.apk | 2.9 MiB | 2024-Oct-25 20:12 |
rizin-doc-0.6.3-r1.apk | 17.6 KiB | 2024-Oct-25 20:12 |
repgrep-zsh-completion-0.15.0-r0.apk | 1.6 KiB | 2024-Oct-25 20:12 |
rizin-libs-0.6.3-r1.apk | 4.5 MiB | 2024-Oct-25 20:12 |
rezolus-2.11.1-r3.apk | 883.3 KiB | 2024-Oct-25 20:12 |
remake-1.5-r1.apk | 146.8 KiB | 2024-Oct-25 20:12 |
rizin-cutter-dev-2.3.2-r2.apk | 107.9 KiB | 2024-Oct-25 20:12 |
rgxg-doc-0.1.2-r2.apk | 12.3 KiB | 2024-Oct-25 20:12 |
rhasspy-nlu-pyc-0.4.0-r3.apk | 72.9 KiB | 2024-Oct-25 20:12 |
rgxg-0.1.2-r2.apk | 14.8 KiB | 2024-Oct-25 20:12 |
rizin-0.6.3-r1.apk | 2.7 MiB | 2024-Oct-25 20:12 |
rinetd-0.73-r0.apk | 14.5 KiB | 2024-Oct-25 20:12 |
river-shifttags-doc-0.2.1-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
rkdeveloptool-doc-1.1.0-r1.apk | 3.0 KiB | 2024-Oct-25 20:12 |
restart-services-doc-0.17.0-r0.apk | 5.9 KiB | 2024-Oct-25 20:12 |
reredirect-0.3-r0.apk | 9.0 KiB | 2024-Oct-25 20:12 |
repgrep-doc-0.15.0-r0.apk | 6.5 KiB | 2024-Oct-25 20:12 |
rgxg-dev-0.1.2-r2.apk | 3.5 KiB | 2024-Oct-25 20:12 |
remake-doc-1.5-r1.apk | 201.7 KiB | 2024-Oct-25 20:12 |
rezolus-doc-2.11.1-r3.apk | 3.4 KiB | 2024-Oct-25 20:12 |
reredirect-doc-0.3-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
rinetd-doc-0.73-r0.apk | 16.5 KiB | 2024-Oct-25 20:12 |
remake-make-1.5-r1.apk | 1.5 KiB | 2024-Oct-25 20:12 |
repgrep-fish-completion-0.15.0-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
rizin-dev-0.6.3-r1.apk | 306.1 KiB | 2024-Oct-25 20:12 |
riemann-cli-0.8.0-r2.apk | 532.3 KiB | 2024-Oct-25 20:12 |
remind-caldav-pyc-0.8.0-r4.apk | 6.1 KiB | 2024-Oct-25 20:12 |
ri-li-2.0.1-r1.apk | 18.3 MiB | 2024-Oct-25 20:12 |
remake-dev-1.5-r1.apk | 2.9 KiB | 2024-Oct-25 20:12 |
repgrep-bash-completion-0.15.0-r0.apk | 1.6 KiB | 2024-Oct-25 20:12 |
reason-rtop-3.8.2-r1.apk | 24.3 MiB | 2024-Oct-25 20:12 |
reason-3.8.2-r1.apk | 17.5 MiB | 2024-Oct-25 20:12 |
recoll-1.37.5-r1.apk | 2.9 MiB | 2024-Oct-25 20:12 |
reaver-wps-fork-t6x-1.6.6-r1.apk | 418.9 KiB | 2024-Oct-25 20:12 |
recoll-doc-1.37.5-r1.apk | 21.1 KiB | 2024-Oct-25 20:12 |
recoll-dev-1.37.5-r1.apk | 53.1 KiB | 2024-Oct-25 20:12 |
queercat-1.0.0-r0.apk | 8.2 KiB | 2024-Oct-25 20:12 |
razercfg-gui-0.42-r7.apk | 19.0 KiB | 2024-Oct-25 20:12 |
razercfg-0.42-r7.apk | 83.9 KiB | 2024-Oct-25 20:12 |
quakespasm-0.96.3-r0.apk | 493.9 KiB | 2024-Oct-25 20:12 |
quodlibet-doc-4.6.0-r1.apk | 8.7 KiB | 2024-Oct-25 20:12 |
qoi-dev-0.0.0_git20230312-r0.apk | 6.8 KiB | 2024-Oct-25 20:12 |
rankwidth-0.9-r3.apk | 5.6 KiB | 2024-Oct-25 20:12 |
qownnotes-lang-23.6.6-r0.apk | 4.4 MiB | 2024-Oct-25 20:12 |
qsstv-9.5.8-r2.apk | 989.6 KiB | 2024-Oct-25 20:12 |
rdedup-3.2.1-r5.apk | 830.5 KiB | 2024-Oct-25 20:12 |
qoi-0.0.0_git20230312-r0.apk | 1.5 KiB | 2024-Oct-25 20:12 |
rankwidth-doc-0.9-r3.apk | 3.0 KiB | 2024-Oct-25 20:12 |
qt-wayland-shell-helpers-0.1.1-r3.apk | 13.0 KiB | 2024-Oct-25 20:12 |
rattler-build-bash-completion-0.18.0-r0.apk | 3.6 KiB | 2024-Oct-25 20:12 |
qtpass-doc-1.4.0-r0.apk | 2.1 KiB | 2024-Oct-25 20:12 |
readosm-dev-1.1.0-r2.apk | 20.7 KiB | 2024-Oct-25 20:12 |
qownnotes-23.6.6-r0.apk | 2.5 MiB | 2024-Oct-25 20:12 |
quodlibet-pyc-4.6.0-r1.apk | 1.8 MiB | 2024-Oct-25 20:12 |
raspberrypi-usbboot-20210701-r3.apk | 1.5 MiB | 2024-Oct-25 20:12 |
qt5ct-dev-1.8-r0.apk | 1.5 KiB | 2024-Oct-25 20:12 |
qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.9 KiB | 2024-Oct-25 20:12 |
quodlibet-4.6.0-r1.apk | 1.1 MiB | 2024-Oct-25 20:12 |
qt5ct-1.8-r0.apk | 241.1 KiB | 2024-Oct-25 20:12 |
rattler-build-zsh-completion-0.18.0-r0.apk | 5.4 KiB | 2024-Oct-25 20:12 |
rattler-build-doc-0.18.0-r0.apk | 6.7 KiB | 2024-Oct-25 20:12 |
qqc2-suru-style-0.20230206-r1.apk | 174.6 KiB | 2024-Oct-25 20:12 |
qucs-s-1.1.0-r1.apk | 3.6 MiB | 2024-Oct-25 20:12 |
quodlibet-zsh-completion-4.6.0-r1.apk | 2.7 KiB | 2024-Oct-25 20:12 |
razercfg-pyc-0.42-r7.apk | 35.6 KiB | 2024-Oct-25 20:12 |
razercfg-openrc-0.42-r7.apk | 1.7 KiB | 2024-Oct-25 20:12 |
rauc-service-1.10.1-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
rauc-1.10.1-r0.apk | 146.0 KiB | 2024-Oct-25 20:12 |
qtpass-1.4.0-r0.apk | 437.7 KiB | 2024-Oct-25 20:12 |
qsynth-doc-1.0.2-r0.apk | 4.4 KiB | 2024-Oct-25 20:12 |
rankwidth-dev-0.9-r3.apk | 2.9 KiB | 2024-Oct-25 20:12 |
rathole-0.5.0-r0.apk | 1.4 MiB | 2024-Oct-25 20:12 |
qperf-doc-0.4.11-r1.apk | 5.5 KiB | 2024-Oct-25 20:12 |
rattler-build-0.18.0-r0.apk | 5.7 MiB | 2024-Oct-25 20:12 |
rattler-build-fish-completion-0.18.0-r0.apk | 4.7 KiB | 2024-Oct-25 20:12 |
rauc-doc-1.10.1-r0.apk | 4.2 KiB | 2024-Oct-25 20:12 |
rankwidth-libs-0.9-r3.apk | 5.2 KiB | 2024-Oct-25 20:12 |
qt-jdenticon-doc-0.3.1-r0.apk | 2.2 KiB | 2024-Oct-25 20:12 |
quodlibet-bash-completion-4.6.0-r1.apk | 4.7 KiB | 2024-Oct-25 20:12 |
readosm-1.1.0-r2.apk | 15.7 KiB | 2024-Oct-25 20:12 |
quodlibet-lang-4.6.0-r1.apk | 1.2 MiB | 2024-Oct-25 20:12 |
qtox-1.17.6-r6.apk | 5.1 MiB | 2024-Oct-25 20:12 |
qperf-0.4.11-r1.apk | 35.2 KiB | 2024-Oct-25 20:12 |
rclone-browser-1.8.0-r1.apk | 348.7 KiB | 2024-Oct-25 20:12 |
qucs-s-doc-1.1.0-r1.apk | 2.4 KiB | 2024-Oct-25 20:12 |
qml-box2d-0_git20180406-r0.apk | 146.8 KiB | 2024-Oct-25 20:12 |
qoiconv-0.0.0_git20230312-r0.apk | 29.5 KiB | 2024-Oct-25 20:12 |
rankwidth-static-0.9-r3.apk | 4.8 KiB | 2024-Oct-25 20:12 |
qt-jdenticon-0.3.1-r0.apk | 28.8 KiB | 2024-Oct-25 20:12 |
qsynth-1.0.2-r0.apk | 459.9 KiB | 2024-Oct-25 20:12 |
qucs-s-lang-1.1.0-r1.apk | 864.6 KiB | 2024-Oct-25 20:12 |
pypy3-dev-7.3.12-r0.apk | 564.5 KiB | 2024-Oct-25 20:12 |
pypy-tkinter-7.3.12-r0.apk | 452.7 KiB | 2024-Oct-25 20:12 |
pypy3-tests-7.3.12-r0.apk | 12.9 MiB | 2024-Oct-25 20:12 |
qflipper-1.3.3-r1.apk | 526.9 KiB | 2024-Oct-25 20:12 |
pypy3-tkinter-7.3.12-r0.apk | 301.0 KiB | 2024-Oct-25 20:12 |
qdjango-0.6.2-r1.apk | 112.3 KiB | 2024-Oct-25 20:12 |
pypy3-7.3.12-r0.apk | 14.6 MiB | 2024-Oct-25 20:12 |
pypy-dev-7.3.12-r0.apk | 78.1 KiB | 2024-Oct-25 20:12 |
pyradio-pyc-0.9.3.11-r0.apk | 810.3 KiB | 2024-Oct-25 20:12 |
pyradio-doc-0.9.3.11-r0.apk | 112.7 KiB | 2024-Oct-25 20:12 |
qflipper-gui-1.3.3-r1.apk | 1.1 MiB | 2024-Oct-25 20:12 |
qdjango-dev-0.6.2-r1.apk | 14.1 KiB | 2024-Oct-25 20:12 |
pypy3-pyc-7.3.12-r0.apk | 5.9 MiB | 2024-Oct-25 20:12 |
pyradio-0.9.3.11-r0.apk | 870.8 KiB | 2024-Oct-25 20:12 |
pypy-bootstrap-7.3.12-r0.apk | 15.6 MiB | 2024-Oct-25 20:12 |
pympress-1.8.5-r1.apk | 181.2 KiB | 2024-Oct-25 20:12 |
pympress-lang-1.8.5-r1.apk | 56.3 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-thefuzz-pyc-0.22.1-r1.apk | 8.9 KiB | 2024-Oct-25 20:12 |
py3-ticket-auth-pyc-0.1.4-r9.apk | 6.4 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15.1 KiB | 2024-Oct-25 20:12 |
py3-scour-0.38.2-r1.apk | 56.4 KiB | 2024-Oct-25 20:12 |
py3-svgpath-pyc-6.3-r3.apk | 21.6 KiB | 2024-Oct-25 20:12 |
py3-queuelib-pyc-1.7.0-r0.apk | 24.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15.0 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.6 KiB | 2024-Oct-25 20:12 |
py3-rst2ansi-0.1.5-r0.apk | 12.2 KiB | 2024-Oct-25 20:12 |
py3-telegram-text-0.2.0-r1.apk | 9.2 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.9 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9.1 KiB | 2024-Oct-25 20:12 |
py3-sstash-pyc-0.17-r9.apk | 10.3 KiB | 2024-Oct-25 20:12 |
py3-virtualenvwrapper-6.1.0-r1.apk | 21.9 KiB | 2024-Oct-25 20:12 |
py3-scrapy-pyc-2.11.1-r1.apk | 482.0 KiB | 2024-Oct-25 20:12 |
py3-rich-click-1.7.3-r1.apk | 30.7 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.8 KiB | 2024-Oct-25 20:12 |
py3-urlobject-2.4.3-r9.apk | 14.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-manpage-0.6-r8.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-rst-pyc-0.1-r9.apk | 6.1 KiB | 2024-Oct-25 20:12 |
py3-tlslite-ng-0.7.6-r8.apk | 178.5 KiB | 2024-Oct-25 20:12 |
py3-zipfile2-pyc-0.0.12-r0.apk | 28.9 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-slide-1.0.0-r3.apk | 5.0 KiB | 2024-Oct-25 20:12 |
py3-winacl-pyc-0.1.9-r0.apk | 132.0 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.5 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.9 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.6 KiB | 2024-Oct-25 20:12 |
py3-stringcase-pyc-1.2.0-r8.apk | 3.9 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-25 20:12 |
py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-Oct-25 20:12 |
py3-wg-netns-2.3.1-r1.apk | 7.6 KiB | 2024-Oct-25 20:12 |
py3-zope-schema-7.0.1-r3.apk | 45.3 KiB | 2024-Oct-25 20:12 |
py3-wsgiprox-1.5.2-r1.apk | 16.7 KiB | 2024-Oct-25 20:12 |
py3-wstools-0.4.10-r7.apk | 53.2 KiB | 2024-Oct-25 20:12 |
py3-radon-doc-6.0.1-r2.apk | 5.1 KiB | 2024-Oct-25 20:12 |
py3-youtube-search-pyc-1.6.6-r4.apk | 96.2 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2.0 KiB | 2024-Oct-25 20:12 |
py3-rich-click-pyc-1.7.3-r1.apk | 40.3 KiB | 2024-Oct-25 20:12 |
py3-svgpath-6.3-r3.apk | 17.1 KiB | 2024-Oct-25 20:12 |
py3-translationstring-1.4-r4.apk | 9.2 KiB | 2024-Oct-25 20:12 |
py3-recommonmark-pyc-0.7.1-r4.apk | 17.5 KiB | 2024-Oct-25 20:12 |
py3-wtf-peewee-3.0.6-r0.apk | 12.7 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-adadomain-0.2-r9.apk | 9.0 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.2 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.8 KiB | 2024-Oct-25 20:12 |
py3-scs-pyc-3.2.3-r4.apk | 4.9 KiB | 2024-Oct-25 20:12 |
py3-vatnumber-1.2-r9.apk | 18.6 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-bw-0.1.8-r7.apk | 64.8 KiB | 2024-Oct-25 20:12 |
py3-radon-pyc-6.0.1-r2.apk | 49.6 KiB | 2024-Oct-25 20:12 |
py3-snapshottest-0.6.0-r5.apk | 15.1 KiB | 2024-Oct-25 20:12 |
py3-rst2ansi-pyc-0.1.5-r0.apk | 24.0 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.7 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.5 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.6 KiB | 2024-Oct-25 20:12 |
py3-uacme-desec-doc-1.2.1-r0.apk | 2.2 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.8 KiB | 2024-Oct-25 20:12 |
py3-simplesoapy-pyc-1.5.1-r7.apk | 11.8 KiB | 2024-Oct-25 20:12 |
py3-zope-configuration-5.0.1-r2.apk | 39.1 KiB | 2024-Oct-25 20:12 |
py3-tg-0.19.0-r5.apk | 73.4 KiB | 2024-Oct-25 20:12 |
py3-uacme-desec-1.2.1-r0.apk | 5.7 KiB | 2024-Oct-25 20:12 |
py3-tailer-0.4.1-r7.apk | 6.9 KiB | 2024-Oct-25 20:12 |
py3-zope-configuration-pyc-5.0.1-r2.apk | 48.5 KiB | 2024-Oct-25 20:12 |
py3-setuptools-lint-0.6.0-r9.apk | 5.4 KiB | 2024-Oct-25 20:12 |
py3-u-msgpack-pyc-2.8.0-r2.apk | 16.2 KiB | 2024-Oct-25 20:12 |
py3-telemetrix-1.20-r3.apk | 20.9 KiB | 2024-Oct-25 20:12 |
py3-xlwt-1.3.0-r9.apk | 94.6 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.5 KiB | 2024-Oct-25 20:12 |
py3-simplematch-pyc-1.4-r1.apk | 5.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk | 11.5 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.9 KiB | 2024-Oct-25 20:12 |
py3-typing_inspect-pyc-0.9.0-r2.apk | 14.2 KiB | 2024-Oct-25 20:12 |
py3-vdf-pyc-3.4-r1.apk | 16.6 KiB | 2024-Oct-25 20:12 |
py3-simplespectral-1.0.0-r5.apk | 7.5 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-readable-1.3.0-r9.apk | 8.8 KiB | 2024-Oct-25 20:12 |
py3-schema-0.7.5-r4.apk | 18.5 KiB | 2024-Oct-25 20:12 |
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2.1 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18.1 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-Oct-25 20:12 |
py3-tpm2-pytss-pyc-2.3.0-r1.apk | 236.1 KiB | 2024-Oct-25 20:12 |
py3-rabbit-1.1.0-r8.apk | 10.9 KiB | 2024-Oct-25 20:12 |
py3-unicorn-pyc-2.0.1-r4.apk | 56.8 KiB | 2024-Oct-25 20:12 |
py3-radon-6.0.1-r2.apk | 31.5 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.5 KiB | 2024-Oct-25 20:12 |
py3-wstools-pyc-0.4.10-r7.apk | 110.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> | 43.8 KiB | 2024-Oct-25 20:12 |
py3-wifi-pyc-0.3.8-r7.apk | 13.7 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.9 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.7 KiB | 2024-Oct-25 20:12 |
py3-qpageview-pyc-0.6.2-r1.apk | 180.2 KiB | 2024-Oct-25 20:12 |
py3-wsgiprox-pyc-1.5.2-r1.apk | 28.2 KiB | 2024-Oct-25 20:12 |
py3-solidpython-pyc-1.1.2-r2.apk | 120.0 KiB | 2024-Oct-25 20:12 |
py3-tpm2-pytss-2.3.0-r1.apk | 273.3 KiB | 2024-Oct-25 20:12 |
py3-virtualenvwrapper-pyc-6.1.0-r1.apk | 11.7 KiB | 2024-Oct-25 20:12 |
py3-testresources-2.0.1-r6.apk | 17.4 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk | 5.5 KiB | 2024-Oct-25 20:12 |
py3-trivup-0.12.2-r2.apk | 34.0 KiB | 2024-Oct-25 20:12 |
py3-us-3.2.0-r0.apk | 14.3 KiB | 2024-Oct-25 20:12 |
py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.4 KiB | 2024-Oct-25 20:12 |
py3-simplematch-1.4-r1.apk | 8.0 KiB | 2024-Oct-25 20:12 |
py3-scrapy-2.11.1-r1.apk | 240.0 KiB | 2024-Oct-25 20:12 |
py3-redmine-pyc-2.5.0-r0.apk | 53.6 KiB | 2024-Oct-25 20:12 |
py3-rabbit-pyc-1.1.0-r8.apk | 15.5 KiB | 2024-Oct-25 20:12 |
py3-tidalapi-0.7.4-r1.apk | 35.6 KiB | 2024-Oct-25 20:12 |
py3-qpageview-doc-0.6.2-r1.apk | 56.3 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.5 KiB | 2024-Oct-25 20:12 |
py3-qpageview-0.6.2-r1.apk | 98.0 KiB | 2024-Oct-25 20:12 |
py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.8 KiB | 2024-Oct-25 20:12 |
py3-tls_parser-2.0.1-r1.apk | 9.2 KiB | 2024-Oct-25 20:12 |
py3-simplespectral-pyc-1.0.0-r5.apk | 8.1 KiB | 2024-Oct-25 20:12 |
py3-tidalapi-pyc-0.7.4-r1.apk | 62.2 KiB | 2024-Oct-25 20:12 |
py3-translationstring-pyc-1.4-r4.apk | 8.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.7 KiB | 2024-Oct-25 20:12 |
py3-ward-pyc-0.67.0_beta0-r2.apk | 79.6 KiB | 2024-Oct-25 20:12 |
py3-quebra-frases-0.3.7-r1.apk | 8.8 KiB | 2024-Oct-25 20:12 |
py3-rpio-pyc-0.10.1-r8.apk | 16.0 KiB | 2024-Oct-25 20:12 |
py3-unicrypto-pyc-0.0.10-r2.apk | 94.1 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk | 18.9 KiB | 2024-Oct-25 20:12 |
py3-simplesoapy-1.5.1-r7.apk | 7.9 KiB | 2024-Oct-25 20:12 |
py3-qt.py-pyc-1.3.10-r1.apk | 24.7 KiB | 2024-Oct-25 20:12 |
py3-timeago-1.0.16-r0.apk | 23.6 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11.2 KiB | 2024-Oct-25 20:12 |
py3-trivup-pyc-0.12.2-r2.apk | 54.9 KiB | 2024-Oct-25 20:12 |
py3-telegram-0.18.0-r3.apk | 13.6 KiB | 2024-Oct-25 20:12 |
py3-ward-0.67.0_beta0-r2.apk | 40.7 KiB | 2024-Oct-25 20:12 |
py3-unidns-pyc-0.0.1-r2.apk | 21.6 KiB | 2024-Oct-25 20:12 |
py3-slixmpp-1.8.5-r2.apk | 382.7 KiB | 2024-Oct-25 20:12 |
py3-sstash-0.17-r9.apk | 7.7 KiB | 2024-Oct-25 20:12 |
py3-tasklib-pyc-2.5.1-r2.apk | 51.5 KiB | 2024-Oct-25 20:12 |
py3-rospkg-pyc-1.2.9-r5.apk | 54.1 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-spelling-8.0.0-r3.apk | 15.3 KiB | 2024-Oct-25 20:12 |
py3-rfc3987-pyc-1.3.8-r6.apk | 10.6 KiB | 2024-Oct-25 20:12 |
py3-uptime-pyc-3.0.1-r9.apk | 8.7 KiB | 2024-Oct-25 20:12 |
py3-uacme-desec-pyc-1.2.1-r0.apk | 6.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.3 KiB | 2024-Oct-25 20:12 |
py3-rst2ansi-doc-0.1.5-r0.apk | 2.2 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.3 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.9 KiB | 2024-Oct-25 20:12 |
py3-spake2-0.9-r0.apk | 30.1 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9.2 KiB | 2024-Oct-25 20:12 |
py3-sortedcollections-pyc-2.1.0-r5.apk | 13.9 KiB | 2024-Oct-25 20:12 |
py3-slidge-style-parser-0.1.8-r0.apk | 204.5 KiB | 2024-Oct-25 20:12 |
py3-spotipy-2.24.0-r1.apk | 30.0 KiB | 2024-Oct-25 20:12 |
py3-telegram-pyc-0.18.0-r3.apk | 20.9 KiB | 2024-Oct-25 20:12 |
py3-testresources-pyc-2.0.1-r6.apk | 16.0 KiB | 2024-Oct-25 20:12 |
py3-spake2-pyc-0.9-r0.apk | 43.5 KiB | 2024-Oct-25 20:12 |
py3-yapsy-pyc-1.12.2-r7.apk | 47.1 KiB | 2024-Oct-25 20:12 |
py3-riotctrl-0.5.0-r4.apk | 13.0 KiB | 2024-Oct-25 20:12 |
py3-wifi-0.3.8-r7.apk | 13.0 KiB | 2024-Oct-25 20:12 |
py3-schema-pyc-0.7.5-r4.apk | 18.3 KiB | 2024-Oct-25 20:12 |
py3-unidns-examples-0.0.1-r2.apk | 2.7 KiB | 2024-Oct-25 20:12 |
py3-twiggy-pyc-0.5.1-r4.apk | 38.7 KiB | 2024-Oct-25 20:12 |
py3-stringcase-1.2.0-r8.apk | 4.8 KiB | 2024-Oct-25 20:12 |
py3-sphinx-argparse-0.5.2-r0.apk | 14.2 KiB | 2024-Oct-25 20:12 |
py3-tg-pyc-0.19.0-r5.apk | 80.6 KiB | 2024-Oct-25 20:12 |
py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.7 KiB | 2024-Oct-25 20:12 |
py3-simplesat-0.8.2-r0.apk | 214.0 KiB | 2024-Oct-25 20:12 |
py3-unidns-0.0.1-r2.apk | 13.6 KiB | 2024-Oct-25 20:12 |
py3-wbdata-1.0.0-r1.apk | 18.5 KiB | 2024-Oct-25 20:12 |
py3-uc-micro-py-1.0.2-r1.apk | 9.1 KiB | 2024-Oct-25 20:12 |
py3-winacl-0.1.9-r0.apk | 83.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.9 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> | 21.4 KiB | 2024-Oct-25 20:12 |
py3-visitor-0.1.3-r7.apk | 4.6 KiB | 2024-Oct-25 20:12 |
py3-zope-schema-pyc-7.0.1-r3.apk | 61.1 KiB | 2024-Oct-25 20:12 |
py3-typing_inspect-0.9.0-r2.apk | 9.9 KiB | 2024-Oct-25 20:12 |
py3-wbdata-pyc-1.0.0-r1.apk | 19.6 KiB | 2024-Oct-25 20:12 |
py3-yara-4.5.1-r0.apk | 18.4 KiB | 2024-Oct-25 20:12 |
py3-qt.py-1.3.10-r1.apk | 32.7 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-git-11.0.0-r7.apk | 17.1 KiB | 2024-Oct-25 20:12 |
py3-transitions-0.9.2-r0.apk | 97.7 KiB | 2024-Oct-25 20:12 |
py3-xlwt-pyc-1.3.0-r9.apk | 165.5 KiB | 2024-Oct-25 20:12 |
py3-telemetrix-pyc-1.20-r3.apk | 31.0 KiB | 2024-Oct-25 20:12 |
py3-urlobject-pyc-2.4.3-r9.apk | 24.7 KiB | 2024-Oct-25 20:12 |
py3-zope-i18nmessageid-6.1.0-r2.apk | 15.6 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.6 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12.0 KiB | 2024-Oct-25 20:12 |
py3-telegram-text-pyc-0.2.0-r1.apk | 13.0 KiB | 2024-Oct-25 20:12 |
py3-solidpython-1.1.2-r2.apk | 78.7 KiB | 2024-Oct-25 20:12 |
py3-youtube-search-1.6.6-r4.apk | 79.1 KiB | 2024-Oct-25 20:12 |
py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.5 KiB | 2024-Oct-25 20:12 |
py3-scs-3.2.3-r4.apk | 138.7 KiB | 2024-Oct-25 20:12 |
py3-recommonmark-0.7.1-r4.apk | 11.7 KiB | 2024-Oct-25 20:12 |
py3-vatnumber-pyc-1.2-r9.apk | 8.6 KiB | 2024-Oct-25 20:12 |
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.6 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk | 17.0 KiB | 2024-Oct-25 20:12 |
py3-remind-pyc-0.19.1-r0.apk | 22.5 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.5 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-Oct-25 20:12 |
py3-unicrypto-0.0.10-r2.apk | 60.9 KiB | 2024-Oct-25 20:12 |
py3-rfc-bibtex-0.3.2-r7.apk | 12.9 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.3 KiB | 2024-Oct-25 20:12 |
py3-spin-0.8-r0.apk | 18.5 KiB | 2024-Oct-25 20:12 |
py3-unearth-pyc-0.17.2-r0.apk | 82.1 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.3 KiB | 2024-Oct-25 20:12 |
py3-rosdistro-0.9.0-r3.apk | 47.1 KiB | 2024-Oct-25 20:12 |
py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-Oct-25 20:12 |
py3-uptime-3.0.1-r9.apk | 9.9 KiB | 2024-Oct-25 20:12 |
py3-tailer-pyc-0.4.1-r7.apk | 6.6 KiB | 2024-Oct-25 20:12 |
py3-unicorn-2.0.1-r4.apk | 33.7 KiB | 2024-Oct-25 20:12 |
py3-timeago-doc-1.0.16-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.3 KiB | 2024-Oct-25 20:12 |
py3-utc-pyc-0.0.3-r9.apk | 2.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.3 KiB | 2024-Oct-25 20:12 |
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 8.0 KiB | 2024-Oct-25 20:12 |
py3-spotipy-pyc-2.24.0-r1.apk | 49.6 KiB | 2024-Oct-25 20:12 |
py3-unearth-0.17.2-r0.apk | 41.3 KiB | 2024-Oct-25 20:12 |
py3-rst.linker-pyc-2.6.0-r0.apk | 6.6 KiB | 2024-Oct-25 20:12 |
py3-slixmpp-pyc-1.8.5-r2.apk | 729.5 KiB | 2024-Oct-25 20:12 |
py3-shodan-pyc-1.31.0-r1.apk | 79.1 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.6 KiB | 2024-Oct-25 20:12 |
pypy-7.3.12-r0.apk | 14.9 MiB | 2024-Oct-25 20:12 |
py3-rospkg-1.2.9-r5.apk | 28.8 KiB | 2024-Oct-25 20:12 |
py3-rpio-0.10.1-r8.apk | 37.1 KiB | 2024-Oct-25 20:12 |
py3-setuptools-lint-pyc-0.6.0-r9.apk | 6.0 KiB | 2024-Oct-25 20:12 |
py3-soapy_power-1.6.1-r5.apk | 17.5 KiB | 2024-Oct-25 20:12 |
py3-scour-pyc-0.38.2-r1.apk | 73.8 KiB | 2024-Oct-25 20:12 |
py3-transitions-pyc-0.9.2-r0.apk | 128.8 KiB | 2024-Oct-25 20:12 |
py3-synapse-auto-accept-invite-1.2.0-r0.apk | 9.8 KiB | 2024-Oct-25 20:12 |
py3-thefuzz-0.22.1-r1.apk | 10.0 KiB | 2024-Oct-25 20:12 |
py3-u-msgpack-2.8.0-r2.apk | 10.9 KiB | 2024-Oct-25 20:12 |
py3-zipfile2-0.0.12-r0.apk | 45.2 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11.1 KiB | 2024-Oct-25 20:12 |
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk | 5.6 KiB | 2024-Oct-25 20:12 |
pympress-doc-1.8.5-r1.apk | 348.4 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.6 KiB | 2024-Oct-25 20:12 |
py3-slixmpp-doc-1.8.5-r2.apk | 5.8 KiB | 2024-Oct-25 20:12 |
py3-rfc3987-1.3.8-r6.apk | 20.9 KiB | 2024-Oct-25 20:12 |
py3-yosys-0.42-r0.apk | 1.8 KiB | 2024-Oct-25 20:12 |
py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22.1 KiB | 2024-Oct-25 20:12 |
py3-teletype-1.3.4-r3.apk | 15.4 KiB | 2024-Oct-25 20:12 |
py3-teletype-pyc-1.3.4-r3.apk | 20.5 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 12.0 KiB | 2024-Oct-25 20:12 |
py3-queuelib-1.7.0-r0.apk | 12.8 KiB | 2024-Oct-25 20:12 |
py3-simber-0.2.6-r4.apk | 12.1 KiB | 2024-Oct-25 20:12 |
py3-timeago-pyc-1.0.16-r0.apk | 27.7 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-better-0.1.5-r7.apk | 10.5 KiB | 2024-Oct-25 20:12 |
py3-slidge-style-parser-pyc-0.1.8-r0.apk | 1.9 KiB | 2024-Oct-25 20:12 |
py3-ticket-auth-0.1.4-r9.apk | 6.0 KiB | 2024-Oct-25 20:12 |
py3-us-pyc-3.2.0-r0.apk | 15.2 KiB | 2024-Oct-25 20:12 |
py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.3 KiB | 2024-Oct-25 20:12 |
py3-tls_parser-pyc-2.0.1-r1.apk | 17.4 KiB | 2024-Oct-25 20:12 |
py3-simplesat-pyc-0.8.2-r0.apk | 156.6 KiB | 2024-Oct-25 20:12 |
py3-simber-pyc-0.2.6-r4.apk | 16.4 KiB | 2024-Oct-25 20:12 |
py3-snapshottest-pyc-0.6.0-r5.apk | 25.7 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.5 KiB | 2024-Oct-25 20:12 |
py3-spin-pyc-0.8-r0.apk | 24.5 KiB | 2024-Oct-25 20:12 |
py3-rst-0.1-r9.apk | 5.6 KiB | 2024-Oct-25 20:12 |
pympress-pyc-1.8.5-r1.apk | 182.2 KiB | 2024-Oct-25 20:12 |
py3-shodan-1.31.0-r1.apk | 44.1 KiB | 2024-Oct-25 20:12 |
py3-rst.linker-2.6.0-r0.apk | 6.1 KiB | 2024-Oct-25 20:12 |
py3-wg-netns-pyc-2.3.1-r1.apk | 13.4 KiB | 2024-Oct-25 20:12 |
py3-unoconv-0.9.0-r2.apk | 26.0 KiB | 2024-Oct-25 20:12 |
py3-spinners-pyc-0.0.24-r5.apk | 6.2 KiB | 2024-Oct-25 20:12 |
py3-remind-0.19.1-r0.apk | 24.6 KiB | 2024-Oct-25 20:12 |
py3-quebra-frases-pyc-0.3.7-r1.apk | 7.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.5 KiB | 2024-Oct-25 20:12 |
py3-utc-0.0.3-r9.apk | 3.5 KiB | 2024-Oct-25 20:12 |
py3-riotctrl-pyc-0.5.0-r4.apk | 10.7 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.3 KiB | 2024-Oct-25 20:12 |
py3-spinners-0.0.24-r5.apk | 6.1 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.9 KiB | 2024-Oct-25 20:12 |
py3-yapsy-1.12.2-r7.apk | 32.2 KiB | 2024-Oct-25 20:12 |
py3-sortedcollections-2.1.0-r5.apk | 10.7 KiB | 2024-Oct-25 20:12 |
py3-shodan-doc-1.31.0-r1.apk | 7.2 KiB | 2024-Oct-25 20:12 |
py3-soapy_power-pyc-1.6.1-r5.apk | 26.5 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.3 KiB | 2024-Oct-25 20:12 |
py3-rosdistro-pyc-0.9.0-r3.apk | 91.3 KiB | 2024-Oct-25 20:12 |
py3-twiggy-0.5.1-r4.apk | 24.1 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6.1 KiB | 2024-Oct-25 20:12 |
py3-visitor-pyc-0.1.3-r7.apk | 2.6 KiB | 2024-Oct-25 20:12 |
py3-tasklib-2.5.1-r2.apk | 23.1 KiB | 2024-Oct-25 20:12 |
py3-vdf-3.4-r1.apk | 11.3 KiB | 2024-Oct-25 20:12 |
py3-spidev-3.6-r1.apk | 13.6 KiB | 2024-Oct-25 20:12 |
py3-redmine-2.5.0-r0.apk | 36.8 KiB | 2024-Oct-25 20:12 |
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3.1 KiB | 2024-Oct-25 20:12 |
py3-seqdiag-pyc-3.0.0-r5.apk | 42.2 KiB | 2024-Oct-25 20:12 |
py3-pockethernet-0.7.0-r4.apk | 14.8 KiB | 2024-Oct-25 20:12 |
py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.2 KiB | 2024-Oct-25 20:12 |
py3-py-radix-pyc-0.10.0-r10.apk | 10.6 KiB | 2024-Oct-25 20:12 |
py3-pydes-pyc-2.0.1-r5.apk | 13.2 KiB | 2024-Oct-25 20:12 |
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk | 4.8 KiB | 2024-Oct-25 20:12 |
py3-print-color-0.4.6-r0.apk | 8.9 KiB | 2024-Oct-25 20:12 |
py3-pep8-naming-0.14.1-r0.apk | 9.8 KiB | 2024-Oct-25 20:12 |
py3-pycolorterm-pyc-0.2.1-r6.apk | 3.7 KiB | 2024-Oct-25 20:12 |
py3-pure_protobuf-3.1.2-r0.apk | 21.1 KiB | 2024-Oct-25 20:12 |
py3-pystache-0.6.5-r1.apk | 68.1 KiB | 2024-Oct-25 20:12 |
py3-openwisp-utils-1.0.4-r4.apk | 497.6 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8.1 KiB | 2024-Oct-25 20:12 |
py3-pysequoia-pyc-0.1.20-r3.apk | 1.9 KiB | 2024-Oct-25 20:12 |
py3-notifymail-1.1-r8.apk | 7.6 KiB | 2024-Oct-25 20:12 |
py3-pytest-expect-1.1.0-r10.apk | 5.9 KiB | 2024-Oct-25 20:12 |
py3-mnemonic-pyc-0.21-r0.apk | 9.7 KiB | 2024-Oct-25 20:12 |
py3-nose-timer-pyc-1.0.1-r6.apk | 9.9 KiB | 2024-Oct-25 20:12 |
py3-natpmp-1.3.2-r1.apk | 9.4 KiB | 2024-Oct-25 20:12 |
py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.4 KiB | 2024-Oct-25 20:12 |
py3-padacioso-pyc-0.2.1-r0.apk | 12.3 KiB | 2024-Oct-25 20:12 |
py3-pbkdf2-1.3-r7.apk | 6.3 KiB | 2024-Oct-25 20:12 |
py3-pypubsub-4.0.3-r0.apk | 52.4 KiB | 2024-Oct-25 20:12 |
py3-pyinstaller-pyc-6.6.0-r0.apk | 502.3 KiB | 2024-Oct-25 20:12 |
py3-python-logstash-0.4.8-r4.apk | 8.6 KiB | 2024-Oct-25 20:12 |
py3-pyisbn-1.3.1-r3.apk | 20.4 KiB | 2024-Oct-25 20:12 |
py3-orderedmultidict-pyc-1.0.1-r7.apk | 17.2 KiB | 2024-Oct-25 20:12 |
py3-pyrebase-3.0.27-r5.apk | 9.6 KiB | 2024-Oct-25 20:12 |
py3-ncclient-0.6.13-r5.apk | 68.2 KiB | 2024-Oct-25 20:12 |
py3-pytest-home-0.6.0-r0.apk | 4.5 KiB | 2024-Oct-25 20:12 |
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8.2 KiB | 2024-Oct-25 20:12 |
py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-Oct-25 20:12 |
py3-nmap-pyc-0.7.1-r4.apk | 25.5 KiB | 2024-Oct-25 20:12 |
py3-pprintpp-pyc-0.4.0-r1.apk | 16.3 KiB | 2024-Oct-25 20:12 |
py3-modbus-tk-1.1.1-r4.apk | 24.8 KiB | 2024-Oct-25 20:12 |
py3-pyqrcode-1.2.1-r0.apk | 36.6 KiB | 2024-Oct-25 20:12 |
py3-pylru-1.2.1-r1.apk | 16.6 KiB | 2024-Oct-25 20:12 |
py3-pybars3-0.9.7-r6.apk | 14.8 KiB | 2024-Oct-25 20:12 |
py3-pyatem-pyc-0.5.0-r4.apk | 91.6 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 550.2 KiB | 2024-Oct-25 20:12 |
py3-netifaces2-pyc-0.0.22-r0.apk | 9.2 KiB | 2024-Oct-25 20:12 |
py3-phx-class-registry-doc-5.0.0-r0.apk | 2.2 KiB | 2024-Oct-25 20:12 |
py3-orderedmultidict-1.0.1-r7.apk | 11.9 KiB | 2024-Oct-25 20:12 |
py3-more-properties-1.1.1-r3.apk | 7.4 KiB | 2024-Oct-25 20:12 |
py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.8 KiB | 2024-Oct-25 20:12 |
py3-pymaging-0.0.20130908-r10.apk | 18.0 KiB | 2024-Oct-25 20:12 |
py3-okonomiyaki-2.0.0-r0.apk | 7.9 MiB | 2024-Oct-25 20:12 |
py3-pyparted-3.13.0-r1.apk | 77.3 KiB | 2024-Oct-25 20:12 |
py3-ntplib-pyc-0.4.0-r5.apk | 8.6 KiB | 2024-Oct-25 20:12 |
py3-nptyping-pyc-2.5.0-r3.apk | 32.0 KiB | 2024-Oct-25 20:12 |
py3-pybars3-pyc-0.9.7-r6.apk | 16.8 KiB | 2024-Oct-25 20:12 |
py3-pysrt-pyc-1.1.2-r4.apk | 23.0 KiB | 2024-Oct-25 20:12 |
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9.5 KiB | 2024-Oct-25 20:12 |
py3-pbkdf2-pyc-1.3-r7.apk | 7.1 KiB | 2024-Oct-25 20:12 |
py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.5 KiB | 2024-Oct-25 20:12 |
py3-pygelbooru-0.5.0-r4.apk | 8.1 KiB | 2024-Oct-25 20:12 |
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.5 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
py3-openapi-codec-pyc-1.3.2-r9.apk | 11.7 KiB | 2024-Oct-25 20:12 |
py3-nptyping-2.5.0-r3.apk | 21.3 KiB | 2024-Oct-25 20:12 |
py3-pymeta3-pyc-0.5.1-r6.apk | 31.5 KiB | 2024-Oct-25 20:12 |
py3-py-radix-0.10.0-r10.apk | 19.6 KiB | 2024-Oct-25 20:12 |
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk | 9.0 KiB | 2024-Oct-25 20:12 |
py3-mnemonic-doc-0.21-r0.apk | 2.4 KiB | 2024-Oct-25 20:12 |
py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-Oct-25 20:12 |
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.8 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.6 KiB | 2024-Oct-25 20:12 |
py3-pytap2-2.3.0-r0.apk | 7.0 KiB | 2024-Oct-25 20:12 |
py3-mopidy-mpd-3.3.0-r4.apk | 46.0 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk | 8.3 KiB | 2024-Oct-25 20:12 |
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6.8 KiB | 2024-Oct-25 20:12 |
py3-pyspinel-1.0.3-r1.apk | 56.0 KiB | 2024-Oct-25 20:12 |
py3-moviepy-1.0.3-r6.apk | 94.5 KiB | 2024-Oct-25 20:12 |
py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 10.0 KiB | 2024-Oct-25 20:12 |
py3-pygelbooru-pyc-0.5.0-r4.apk | 11.5 KiB | 2024-Oct-25 20:12 |
py3-pycolorterm-0.2.1-r6.apk | 5.5 KiB | 2024-Oct-25 20:12 |
py3-pika-pyc-1.3.2-r1.apk | 245.9 KiB | 2024-Oct-25 20:12 |
py3-pytest-html-pyc-4.1.1-r1.apk | 21.8 KiB | 2024-Oct-25 20:12 |
py3-python-iptables-1.0.1-r1.apk | 38.8 KiB | 2024-Oct-25 20:12 |
py3-natpmp-pyc-1.3.2-r1.apk | 9.9 KiB | 2024-Oct-25 20:12 |
py3-proglog-pyc-0.1.10-r2.apk | 9.9 KiB | 2024-Oct-25 20:12 |
py3-pyautogui-pyc-0.9.53-r5.apk | 45.3 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk | 8.9 KiB | 2024-Oct-25 20:12 |
py3-pytest-metadata-3.1.1-r0.apk | 10.2 KiB | 2024-Oct-25 20:12 |
py3-pygtail-0.14.0-r3.apk | 15.0 KiB | 2024-Oct-25 20:12 |
py3-pysimplesoap-pyc-1.16.2-r7.apk | 78.2 KiB | 2024-Oct-25 20:12 |
py3-pyte-0.8.2-r2.apk | 30.3 KiB | 2024-Oct-25 20:12 |
py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.7 KiB | 2024-Oct-25 20:12 |
py3-pickle-secure-0.99.9-r1.apk | 7.5 KiB | 2024-Oct-25 20:12 |
py3-pymeta3-0.5.1-r6.apk | 16.7 KiB | 2024-Oct-25 20:12 |
py3-pylru-pyc-1.2.1-r1.apk | 8.9 KiB | 2024-Oct-25 20:12 |
py3-mopidy-jellyfin-1.0.4-r4.apk | 24.5 KiB | 2024-Oct-25 20:12 |
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.4 KiB | 2024-Oct-25 20:12 |
py3-pyrebase-pyc-3.0.27-r5.apk | 17.6 KiB | 2024-Oct-25 20:12 |
py3-pyautogui-0.9.53-r5.apk | 35.1 KiB | 2024-Oct-25 20:12 |
py3-nmap-0.7.1-r4.apk | 20.4 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-news-plugin-0.0.4-r0.apk | 11.3 KiB | 2024-Oct-25 20:12 |
py3-playsound-1.3.0-r1.apk | 6.9 KiB | 2024-Oct-25 20:12 |
py3-pelican-pyc-4.9.1-r2.apk | 147.3 KiB | 2024-Oct-25 20:12 |
py3-pygpgme-0.3.1-r9.apk | 37.1 KiB | 2024-Oct-25 20:12 |
py3-pysimplesoap-1.16.2-r7.apk | 43.7 KiB | 2024-Oct-25 20:12 |
py3-pydes-doc-2.0.1-r5.apk | 3.6 KiB | 2024-Oct-25 20:12 |
py3-pyte-pyc-0.8.2-r2.apk | 39.5 KiB | 2024-Oct-25 20:12 |
py3-pymsgbox-pyc-1.0.9-r5.apk | 9.8 KiB | 2024-Oct-25 20:12 |
py3-python-archive-pyc-0.2-r7.apk | 9.3 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 47.0 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3.4 KiB | 2024-Oct-25 20:12 |
py3-python-logstash-doc-0.4.8-r4.apk | 2.3 KiB | 2024-Oct-25 20:12 |
py3-moviepy-pyc-1.0.3-r6.apk | 155.5 KiB | 2024-Oct-25 20:12 |
py3-openapi-codec-1.3.2-r9.apk | 7.7 KiB | 2024-Oct-25 20:12 |
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 436.7 KiB | 2024-Oct-25 20:12 |
py3-pytap2-doc-2.3.0-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
py3-pacparser-pyc-1.4.5-r1.apk | 4.0 KiB | 2024-Oct-25 20:12 |
py3-pep8-naming-pyc-0.14.1-r0.apk | 13.2 KiB | 2024-Oct-25 20:12 |
py3-pymata4-1.15-r4.apk | 23.0 KiB | 2024-Oct-25 20:12 |
py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 103.0 KiB | 2024-Oct-25 20:12 |
py3-phpserialize-1.3-r8.apk | 8.8 KiB | 2024-Oct-25 20:12 |
py3-python-archive-0.2-r7.apk | 7.4 KiB | 2024-Oct-25 20:12 |
py3-pygfm-pyc-2.0.0-r2.apk | 13.2 KiB | 2024-Oct-25 20:12 |
py3-pymsgbox-1.0.9-r5.apk | 9.2 KiB | 2024-Oct-25 20:12 |
py3-playsound-pyc-1.3.0-r1.apk | 8.5 KiB | 2024-Oct-25 20:12 |
py3-pyzor-pyc-1.0.0-r11.apk | 53.7 KiB | 2024-Oct-25 20:12 |
py3-pyscreeze-pyc-0.1.29-r3.apk | 14.2 KiB | 2024-Oct-25 20:12 |
py3-pygpgme-pyc-0.3.1-r9.apk | 5.1 KiB | 2024-Oct-25 20:12 |
py3-nose-timer-1.0.1-r6.apk | 9.4 KiB | 2024-Oct-25 20:12 |
py3-pprintpp-0.4.0-r1.apk | 13.9 KiB | 2024-Oct-25 20:12 |
py3-mnemonic-0.21-r0.apk | 95.0 KiB | 2024-Oct-25 20:12 |
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.6 KiB | 2024-Oct-25 20:12 |
py3-pyroma-4.2-r0.apk | 21.9 KiB | 2024-Oct-25 20:12 |
py3-pypubsub-pyc-4.0.3-r0.apk | 89.9 KiB | 2024-Oct-25 20:12 |
py3-pytest-expect-pyc-1.1.0-r10.apk | 7.0 KiB | 2024-Oct-25 20:12 |
py3-pyspinel-pyc-1.0.3-r1.apk | 63.0 KiB | 2024-Oct-25 20:12 |
py3-pydes-2.0.1-r5.apk | 10.7 KiB | 2024-Oct-25 20:12 |
py3-proglog-0.1.10-r2.apk | 7.4 KiB | 2024-Oct-25 20:12 |
py3-pam-2.0.2-r2.apk | 11.2 KiB | 2024-Oct-25 20:12 |
py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.9 KiB | 2024-Oct-25 20:12 |
py3-pytest-html-4.1.1-r1.apk | 21.6 KiB | 2024-Oct-25 20:12 |
py3-pycosat-0.6.6-r2.apk | 45.6 KiB | 2024-Oct-25 20:12 |
py3-print-color-pyc-0.4.6-r0.apk | 5.0 KiB | 2024-Oct-25 20:12 |
py3-netifaces2-0.0.22-r0.apk | 194.8 KiB | 2024-Oct-25 20:12 |
py3-pymata4-pyc-1.15-r4.apk | 30.8 KiB | 2024-Oct-25 20:12 |
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 86.0 KiB | 2024-Oct-25 20:12 |
py3-pickle-secure-pyc-0.99.9-r1.apk | 5.4 KiB | 2024-Oct-25 20:12 |
py3-pillow_heif-pyc-0.18.0-r0.apk | 35.5 KiB | 2024-Oct-25 20:12 |
py3-phx-class-registry-5.0.0-r0.apk | 13.1 KiB | 2024-Oct-25 20:12 |
py3-pyparted-pyc-3.13.0-r1.apk | 42.2 KiB | 2024-Oct-25 20:12 |
py3-nwdiag-pyc-3.0.0-r3.apk | 77.9 KiB | 2024-Oct-25 20:12 |
py3-pypubsub-doc-4.0.3-r0.apk | 2.1 KiB | 2024-Oct-25 20:12 |
py3-python-logstash-pyc-0.4.8-r4.apk | 8.4 KiB | 2024-Oct-25 20:12 |
py3-pelican-4.9.1-r2.apk | 233.7 KiB | 2024-Oct-25 20:12 |
py3-pymaging-pyc-0.0.20130908-r10.apk | 31.7 KiB | 2024-Oct-25 20:12 |
py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.6 KiB | 2024-Oct-25 20:12 |
py3-pip-system-certs-pyc-4.0-r1.apk | 4.7 KiB | 2024-Oct-25 20:12 |
py3-pyzor-1.0.0-r11.apk | 40.2 KiB | 2024-Oct-25 20:12 |
py3-phpserialize-pyc-1.3-r8.apk | 10.7 KiB | 2024-Oct-25 20:12 |
py3-qasync-0.19.0-r2.apk | 36.9 KiB | 2024-Oct-25 20:12 |
py3-pymaging-png-0.0.20130727-r10.apk | 35.0 KiB | 2024-Oct-25 20:12 |
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-pysrt-1.1.2-r4.apk | 25.8 KiB | 2024-Oct-25 20:12 |
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 163.5 KiB | 2024-Oct-25 20:12 |
py3-pytap2-pyc-2.3.0-r0.apk | 6.0 KiB | 2024-Oct-25 20:12 |
py3-pygtail-pyc-0.14.0-r3.apk | 10.1 KiB | 2024-Oct-25 20:12 |
py3-python-stdnum-pyc-1.20-r0.apk | 293.5 KiB | 2024-Oct-25 20:12 |
py3-pyscreeze-0.1.29-r3.apk | 14.1 KiB | 2024-Oct-25 20:12 |
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10.1 KiB | 2024-Oct-25 20:12 |
py3-pockethernet-pyc-0.7.0-r4.apk | 25.2 KiB | 2024-Oct-25 20:12 |
py3-ovos-backend-client-pyc-1.0.0-r0.apk | 91.1 KiB | 2024-Oct-25 20:12 |
py3-piccata-pyc-2.0.3-r1.apk | 34.2 KiB | 2024-Oct-25 20:12 |
py3-print-color-doc-0.4.6-r0.apk | 2.6 KiB | 2024-Oct-25 20:12 |
py3-python-iptables-pyc-1.0.1-r1.apk | 67.9 KiB | 2024-Oct-25 20:12 |
py3-mopidy-tidal-0.3.2-r6.apk | 24.8 KiB | 2024-Oct-25 20:12 |
py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.3 KiB | 2024-Oct-25 20:12 |
py3-pyqrcode-doc-1.2.1-r0.apk | 4.3 KiB | 2024-Oct-25 20:12 |
py3-ntplib-0.4.0-r5.apk | 7.4 KiB | 2024-Oct-25 20:12 |
py3-pyatem-0.5.0-r4.apk | 52.5 KiB | 2024-Oct-25 20:12 |
py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.9 KiB | 2024-Oct-25 20:12 |
py3-ncclient-pyc-0.6.13-r5.apk | 106.5 KiB | 2024-Oct-25 20:12 |
py3-piccata-2.0.3-r1.apk | 20.1 KiB | 2024-Oct-25 20:12 |
py3-pip-system-certs-4.0-r1.apk | 7.0 KiB | 2024-Oct-25 20:12 |
py3-pillow_heif-0.18.0-r0.apk | 51.6 KiB | 2024-Oct-25 20:12 |
py3-more-properties-pyc-1.1.1-r3.apk | 8.2 KiB | 2024-Oct-25 20:12 |
py3-padacioso-0.2.1-r0.apk | 11.4 KiB | 2024-Oct-25 20:12 |
py3-pycaption-2.2.15-r0.apk | 360.1 KiB | 2024-Oct-25 20:12 |
py3-pika-1.3.2-r1.apk | 143.1 KiB | 2024-Oct-25 20:12 |
py3-pytest-subprocess-1.5.2-r0.apk | 19.5 KiB | 2024-Oct-25 20:12 |
py3-pysequoia-0.1.20-r3.apk | 1.5 MiB | 2024-Oct-25 20:12 |
py3-ovos-backend-client-1.0.0-r0.apk | 45.9 KiB | 2024-Oct-25 20:12 |
py3-pystache-pyc-0.6.5-r1.apk | 96.7 KiB | 2024-Oct-25 20:12 |
py3-pymata-pyc-2.20-r4.apk | 29.4 KiB | 2024-Oct-25 20:12 |
py3-pytest-home-pyc-0.6.0-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.3 KiB | 2024-Oct-25 20:12 |
py3-pacparser-1.4.5-r1.apk | 386.0 KiB | 2024-Oct-25 20:12 |
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.4 KiB | 2024-Oct-25 20:12 |
py3-modbus-tk-pyc-1.1.1-r4.apk | 48.5 KiB | 2024-Oct-25 20:12 |
py3-pyisbn-pyc-1.3.1-r3.apk | 9.4 KiB | 2024-Oct-25 20:12 |
py3-python-stdnum-1.20-r0.apk | 805.7 KiB | 2024-Oct-25 20:12 |
py3-pam-pyc-2.0.2-r2.apk | 13.0 KiB | 2024-Oct-25 20:12 |
py3-okonomiyaki-pyc-2.0.0-r0.apk | 243.1 KiB | 2024-Oct-25 20:12 |
py3-notifymail-pyc-1.1-r8.apk | 5.7 KiB | 2024-Oct-25 20:12 |
py3-pyqrcode-pyc-1.2.1-r0.apk | 47.3 KiB | 2024-Oct-25 20:12 |
py3-pyroma-pyc-4.2-r0.apk | 25.9 KiB | 2024-Oct-25 20:12 |
py3-pymata-2.20-r4.apk | 22.5 KiB | 2024-Oct-25 20:12 |
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> | 5.4 KiB | 2024-Oct-25 20:12 |
py3-pygfm-2.0.0-r2.apk | 12.7 KiB | 2024-Oct-25 20:12 |
py3-ly-0.9.8-r1.apk | 187.2 KiB | 2024-Oct-25 20:12 |
py3-lsprotocol-pyc-2023.0.1-r1.apk | 107.1 KiB | 2024-Oct-25 20:12 |
py3-logtop-pyc-0.7-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-logfury-pyc-1.0.1-r0.apk | 7.1 KiB | 2024-Oct-25 20:12 |
py3-minikerberos-0.4.4-r1.apk | 128.6 KiB | 2024-Oct-25 20:12 |
py3-mando-doc-0.7.1-r3.apk | 4.2 KiB | 2024-Oct-25 20:12 |
py3-mando-0.7.1-r3.apk | 22.1 KiB | 2024-Oct-25 20:12 |
py3-lsp-black-2.0.0-r1.apk | 7.5 KiB | 2024-Oct-25 20:12 |
py3-lsprotocol-2023.0.1-r1.apk | 69.5 KiB | 2024-Oct-25 20:12 |
py3-minidump-0.0.24-r0.apk | 65.3 KiB | 2024-Oct-25 20:12 |
py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.5 KiB | 2024-Oct-25 20:12 |
py3-marshmallow-enum-1.5.1-r7.apk | 5.3 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 675.2 KiB | 2024-Oct-25 20:12 |
py3-m2crypto-pyc-0.41.0-r2.apk | 122.6 KiB | 2024-Oct-25 20:12 |
py3-ly-pyc-0.9.8-r1.apk | 355.1 KiB | 2024-Oct-25 20:12 |
py3-luhn-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-25 20:12 |
py3-lzo-pyc-1.16-r1.apk | 2.0 KiB | 2024-Oct-25 20:12 |
py3-markdown2-2.5.0-r0.apk | 47.2 KiB | 2024-Oct-25 20:12 |
py3-markdown2-pyc-2.5.0-r0.apk | 75.5 KiB | 2024-Oct-25 20:12 |
py3-migen-pyc-0.9.2-r2.apk | 295.6 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> | 2.4 MiB | 2024-Oct-25 20:12 |
py3-migen-0.9.2-r2.apk | 142.6 KiB | 2024-Oct-25 20:12 |
py3-logtop-0.7-r0.apk | 21.3 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-software-picolibc-2024..> | 4.7 MiB | 2024-Oct-25 20:12 |
py3-m2crypto-0.41.0-r2.apk | 195.1 KiB | 2024-Oct-25 20:12 |
py3-log-symbols-0.0.14-r5.apk | 4.2 KiB | 2024-Oct-25 20:12 |
py3-lunr-0.6.2-r4.apk | 32.5 KiB | 2024-Oct-25 20:12 |
py3-logfury-doc-1.0.1-r0.apk | 2.4 KiB | 2024-Oct-25 20:12 |
py3-mbedtls-2.10.1-r2.apk | 888.6 KiB | 2024-Oct-25 20:12 |
py3-mistletoe-pyc-1.2.1-r2.apk | 91.6 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-valentyusb-2024.04-r0.apk | 111.5 KiB | 2024-Oct-25 20:12 |
py3-lunr-pyc-0.6.2-r4.apk | 50.6 KiB | 2024-Oct-25 20:12 |
py3-ly-doc-0.9.8-r1.apk | 8.1 KiB | 2024-Oct-25 20:12 |
py3-minidump-pyc-0.0.24-r0.apk | 130.3 KiB | 2024-Oct-25 20:12 |
py3-mistletoe-1.2.1-r2.apk | 43.8 KiB | 2024-Oct-25 20:12 |
py3-mapbox-earcut-1.0.1-r2.apk | 63.2 KiB | 2024-Oct-25 20:12 |
py3-log-symbols-pyc-0.0.14-r5.apk | 3.1 KiB | 2024-Oct-25 20:12 |
py3-luhn-0.2.0-r9.apk | 4.0 KiB | 2024-Oct-25 20:12 |
py3-minikerberos-pyc-0.4.4-r1.apk | 263.6 KiB | 2024-Oct-25 20:12 |
py3-lsp-black-pyc-2.0.0-r1.apk | 6.4 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> | 57.9 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-software-compiler_rt-2..> | 2.2 MiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> | 712.6 KiB | 2024-Oct-25 20:12 |
py3-logfury-1.0.1-r0.apk | 7.9 KiB | 2024-Oct-25 20:12 |
py3-lzo-1.16-r1.apk | 16.6 KiB | 2024-Oct-25 20:12 |
py3-mbedtls-pyc-2.10.1-r2.apk | 27.4 KiB | 2024-Oct-25 20:12 |
py3-mando-pyc-0.7.1-r3.apk | 36.0 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> | 934.1 KiB | 2024-Oct-25 20:12 |
py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.4 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> | 7.6 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> | 19.5 MiB | 2024-Oct-25 20:12 |
py3-libguestfs-1.52.0-r1.apk | 182.4 KiB | 2024-Oct-25 20:12 |
py3-libmdbx-0.10.2-r7.apk | 27.9 KiB | 2024-Oct-25 20:12 |
py3-libnacl-2.1.0-r1.apk | 20.3 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> | 44.8 KiB | 2024-Oct-25 20:12 |
py3-librtmp-pyc-0.3.0-r6.apk | 24.6 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.4 KiB | 2024-Oct-25 20:12 |
py3-lib_users-pyc-0.15-r4.apk | 9.5 KiB | 2024-Oct-25 20:12 |
py3-libiio-0.25-r2.apk | 12.6 KiB | 2024-Oct-25 20:12 |
py3-librtmp-0.3.0-r6.apk | 34.8 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> | 207.9 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-Oct-25 20:12 |
py3-libmdbx-pyc-0.10.2-r7.apk | 32.8 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-modules-2024.04-r0.apk | 1.6 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 500.0 KiB | 2024-Oct-25 20:12 |
py3-libacl-0.7.0-r2.apk | 25.6 KiB | 2024-Oct-25 20:12 |
py3-latex2mathml-pyc-3.77.0-r1.apk | 34.9 KiB | 2024-Oct-25 20:12 |
py3-latex2mathml-3.77.0-r1.apk | 72.3 KiB | 2024-Oct-25 20:12 |
py3-linkify-it-py-2.0.3-r1.apk | 21.3 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 112.1 KiB | 2024-Oct-25 20:12 |
py3-lib_users-0.15-r4.apk | 15.6 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> | 5.6 MiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> | 230.3 KiB | 2024-Oct-25 20:12 |
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> | 1.9 MiB | 2024-Oct-25 20:12 |
py3-libnacl-pyc-2.1.0-r1.apk | 30.3 KiB | 2024-Oct-25 20:12 |
py3-iniparse-0.5-r7.apk | 18.7 KiB | 2024-Oct-25 20:12 |
py3-bandwidth-sdk-3.1.0-r8.apk | 46.0 KiB | 2024-Oct-25 20:12 |
py3-langcodes-pyc-3.3.0-r2.apk | 109.7 KiB | 2024-Oct-25 20:12 |
py3-itemloaders-1.3.2-r0.apk | 12.5 KiB | 2024-Oct-25 20:12 |
py3-feedgen-pyc-1.0.0-r1.apk | 61.7 KiB | 2024-Oct-25 20:12 |
py3-dogpile.cache-pyc-1.3.3-r0.apk | 90.4 KiB | 2024-Oct-25 20:12 |
py3-cssutils-pyc-2.11.1-r1.apk | 278.6 KiB | 2024-Oct-25 20:12 |
py3-eradicate-2.3.0-r2.apk | 7.6 KiB | 2024-Oct-25 20:12 |
py3-flask-restless-pyc-0.17.0-r9.apk | 59.1 KiB | 2024-Oct-25 20:12 |
py3-ansi2html-pyc-1.9.2-r0.apk | 21.9 KiB | 2024-Oct-25 20:12 |
py3-bottle-pgsql-0.2-r5.apk | 4.3 KiB | 2024-Oct-25 20:12 |
py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.5 KiB | 2024-Oct-25 20:12 |
py3-astral-pyc-3.2-r3.apk | 58.9 KiB | 2024-Oct-25 20:12 |
py3-jaraco.logging-pyc-3.3.0-r0.apk | 5.8 KiB | 2024-Oct-25 20:12 |
py3-dweepy-0.3.0-r7.apk | 9.1 KiB | 2024-Oct-25 20:12 |
py3-langcodes-3.3.0-r2.apk | 173.5 KiB | 2024-Oct-25 20:12 |
py3-bottle-session-pyc-1.0-r6.apk | 7.8 KiB | 2024-Oct-25 20:12 |
pulsar-client-cpp-3.1.2-r4.apk | 1.4 MiB | 2024-Oct-25 20:12 |
py3-altgraph-0.17.4-r1.apk | 20.7 KiB | 2024-Oct-25 20:12 |
py3-flask-headers-pyc-1.0-r9.apk | 2.5 KiB | 2024-Oct-25 20:12 |
py3-avro-1.11.3-r1.apk | 97.7 KiB | 2024-Oct-25 20:12 |
py3-bookkeeper-pyc-4.17.1-r0.apk | 66.6 KiB | 2024-Oct-25 20:12 |
py3-jsonschema417-pyc-4.17.3-r1.apk | 125.2 KiB | 2024-Oct-25 20:12 |
py3-cassandra-driver-3.29.2-r0.apk | 285.8 KiB | 2024-Oct-25 20:12 |
py3-apicula-pyc-0.11.1-r1.apk | 179.5 KiB | 2024-Oct-25 20:12 |
py3-flask-cdn-1.5.3-r8.apk | 4.8 KiB | 2024-Oct-25 20:12 |
py3-coreapi-2.3.3-r9.apk | 22.2 KiB | 2024-Oct-25 20:12 |
py3-crc16-0.1.1-r10.apk | 11.8 KiB | 2024-Oct-25 20:12 |
pulseview-0.4.2-r8.apk | 1007.8 KiB | 2024-Oct-25 20:12 |
py3-incoming-0.3.1-r8.apk | 12.8 KiB | 2024-Oct-25 20:12 |
py3-furl-2.1.3-r4.apk | 21.4 KiB | 2024-Oct-25 20:12 |
py3-cssutils-2.11.1-r1.apk | 155.2 KiB | 2024-Oct-25 20:12 |
py3-ansi2html-1.9.2-r0.apk | 17.6 KiB | 2024-Oct-25 20:12 |
py3-duniterpy-1.1.1-r3.apk | 221.3 KiB | 2024-Oct-25 20:12 |
py3-actdiag-pyc-3.0.0-r5.apk | 21.5 KiB | 2024-Oct-25 20:12 |
py3-dnslib-0.9.25-r0.apk | 51.8 KiB | 2024-Oct-25 20:12 |
py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.4 KiB | 2024-Oct-25 20:12 |
py3-compdb-pyc-0.2.0-r8.apk | 39.6 KiB | 2024-Oct-25 20:12 |
py3-allfiles-pyc-1.0-r8.apk | 3.3 KiB | 2024-Oct-25 20:12 |
py3-iso639-lang-pyc-2.2.3-r0.apk | 9.7 KiB | 2024-Oct-25 20:12 |
py3-keepalive-0.5-r5.apk | 9.0 KiB | 2024-Oct-25 20:12 |
pulsar-client-cpp-dev-3.1.2-r4.apk | 54.6 KiB | 2024-Oct-25 20:12 |
py3-dogpile.cache-1.3.3-r0.apk | 52.7 KiB | 2024-Oct-25 20:12 |
py3-colorthief-pyc-0.2.1-r1.apk | 10.1 KiB | 2024-Oct-25 20:12 |
py3-ecos-pyc-2.0.11-r4.apk | 3.6 KiB | 2024-Oct-25 20:12 |
py3-helper-pyc-2.5.0-r5.apk | 28.2 KiB | 2024-Oct-25 20:12 |
py3-flask-migrate-4.0.7-r0.apk | 13.1 KiB | 2024-Oct-25 20:12 |
py3-doit-0.36.0-r5.apk | 76.5 KiB | 2024-Oct-25 20:12 |
py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.7 KiB | 2024-Oct-25 20:12 |
py3-itunespy-1.6-r4.apk | 10.0 KiB | 2024-Oct-25 20:12 |
py3-aiohttp-session-2.12.1-r0.apk | 10.4 KiB | 2024-Oct-25 20:12 |
py3-eradicate-pyc-2.3.0-r2.apk | 8.3 KiB | 2024-Oct-25 20:12 |
py3-bookkeeper-4.17.1-r0.apk | 42.9 KiB | 2024-Oct-25 20:12 |
py3-imageio-2.35.1-r0.apk | 287.5 KiB | 2024-Oct-25 20:12 |
py3-flask-security-5.4.3-r2.apk | 267.0 KiB | 2024-Oct-25 20:12 |
py3-deluge-client-1.10.2-r0.apk | 12.9 KiB | 2024-Oct-25 20:12 |
py3-aioopenssl-0.6.0-r4.apk | 20.8 KiB | 2024-Oct-25 20:12 |
py3-cookiecutter-doc-2.6.0-r1.apk | 3.7 KiB | 2024-Oct-25 20:12 |
py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.4 KiB | 2024-Oct-25 20:12 |
py3-aiodocker-pyc-0.21.0-r1.apk | 60.4 KiB | 2024-Oct-25 20:12 |
py3-evohome-client-0.3.7-r4.apk | 19.0 KiB | 2024-Oct-25 20:12 |
py3-halo-0.0.31-r5.apk | 11.5 KiB | 2024-Oct-25 20:12 |
py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.6 KiB | 2024-Oct-25 20:12 |
py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 5.0 KiB | 2024-Oct-25 20:12 |
py3-feedgenerator-pyc-2.1.0-r2.apk | 26.8 KiB | 2024-Oct-25 20:12 |
py3-grequests-pyc-0.7.0-r2.apk | 5.9 KiB | 2024-Oct-25 20:12 |
py3-arcus-5.3.0-r1.apk | 85.9 KiB | 2024-Oct-25 20:12 |
py3-grequests-0.7.0-r2.apk | 6.8 KiB | 2024-Oct-25 20:12 |
py3-flask-restless-0.17.0-r9.apk | 40.5 KiB | 2024-Oct-25 20:12 |
py3-furl-pyc-2.1.3-r4.apk | 32.3 KiB | 2024-Oct-25 20:12 |
py3-html5-parser-pyc-0.4.12-r1.apk | 22.3 KiB | 2024-Oct-25 20:12 |
py3-github3-pyc-4.0.1-r1.apk | 227.0 KiB | 2024-Oct-25 20:12 |
py3-fastdiff-pyc-0.3.0-r5.apk | 4.2 KiB | 2024-Oct-25 20:12 |
py3-bottle-api-pyc-0.0.4-r7.apk | 5.2 KiB | 2024-Oct-25 20:12 |
py3-agithub-pyc-2.2.2-r6.apk | 21.6 KiB | 2024-Oct-25 20:12 |
py3-flask-gzip-0.2-r8.apk | 3.2 KiB | 2024-Oct-25 20:12 |
py3-flake8-import-order-0.18.2-r4.apk | 15.4 KiB | 2024-Oct-25 20:12 |
py3-aioopenssl-pyc-0.6.0-r4.apk | 19.1 KiB | 2024-Oct-25 20:12 |
py3-flake8-copyright-0.2.4-r3.apk | 18.2 KiB | 2024-Oct-25 20:12 |
py3-fastdiff-0.3.0-r5.apk | 38.4 KiB | 2024-Oct-25 20:12 |
py3-flask-bootstrap-3.3.7.1-r8.apk | 449.8 KiB | 2024-Oct-25 20:12 |
py3-coreapi-pyc-2.3.3-r9.apk | 43.3 KiB | 2024-Oct-25 20:12 |
py3-flake8-debugger-4.1.2-r4.apk | 6.2 KiB | 2024-Oct-25 20:12 |
py3-dominate-pyc-2.9.1-r1.apk | 33.7 KiB | 2024-Oct-25 20:12 |
py3-intervals-pyc-0.9.2-r5.apk | 15.2 KiB | 2024-Oct-25 20:12 |
py3-flask-basicauth-0.2.0-r9.apk | 5.3 KiB | 2024-Oct-25 20:12 |
py3-blockchain-1.4.4-r7.apk | 11.0 KiB | 2024-Oct-25 20:12 |
py3-empy-pyc-3.3.4-r7.apk | 58.5 KiB | 2024-Oct-25 20:12 |
py3-aioxmpp-pyc-0.13.3-r3.apk | 673.1 KiB | 2024-Oct-25 20:12 |
py3-irc-pyc-20.4.1-r0.apk | 71.0 KiB | 2024-Oct-25 20:12 |
py3-flask-markdown-0.3-r8.apk | 5.6 KiB | 2024-Oct-25 20:12 |
py3-cstruct-5.3-r1.apk | 22.0 KiB | 2024-Oct-25 20:12 |
py3-dweepy-pyc-0.3.0-r7.apk | 6.3 KiB | 2024-Oct-25 20:12 |
py3-businesstime-0.3.0-r9.apk | 10.6 KiB | 2024-Oct-25 20:12 |
py3-eradicate-doc-2.3.0-r2.apk | 2.5 KiB | 2024-Oct-25 20:12 |
py3-flake8-todo-pyc-0.7-r7.apk | 2.2 KiB | 2024-Oct-25 20:12 |
py3-click-completion-pyc-0.5.2-r1.apk | 14.2 KiB | 2024-Oct-25 20:12 |
py3-empy-3.3.4-r7.apk | 39.3 KiB | 2024-Oct-25 20:12 |
py3-flask-bcrypt-1.0.1-r5.apk | 7.1 KiB | 2024-Oct-25 20:12 |
py3-bottle-renderer-0.1.1-r9.apk | 4.0 KiB | 2024-Oct-25 20:12 |
py3-flask-headers-1.0-r9.apk | 3.2 KiB | 2024-Oct-25 20:12 |
py3-euclid3-pyc-0.01-r8.apk | 32.6 KiB | 2024-Oct-25 20:12 |
py3-c3d-pyc-0.5.2-r1.apk | 53.7 KiB | 2024-Oct-25 20:12 |
py3-findpython-pyc-0.6.2-r0.apk | 29.8 KiB | 2024-Oct-25 20:12 |
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.7 KiB | 2024-Oct-25 20:12 |
py3-html5-parser-0.4.12-r1.apk | 167.1 KiB | 2024-Oct-25 20:12 |
py3-hg-git-1.1.1-r1.apk | 70.1 KiB | 2024-Oct-25 20:12 |
py3-chameleon-pyc-4.5.4-r0.apk | 131.0 KiB | 2024-Oct-25 20:12 |
py3-flask-themer-pyc-2.0.0-r2.apk | 7.0 KiB | 2024-Oct-25 20:12 |
py3-git-versioner-pyc-7.1-r1.apk | 13.5 KiB | 2024-Oct-25 20:12 |
py3-compdb-doc-0.2.0-r8.apk | 3.0 KiB | 2024-Oct-25 20:12 |
py3-daterangestr-pyc-0.0.3-r8.apk | 4.2 KiB | 2024-Oct-25 20:12 |
py3-landlock-1.0.0_pre4-r2.apk | 8.4 KiB | 2024-Oct-25 20:12 |
py3-clickclick-pyc-20.10.2-r4.apk | 9.8 KiB | 2024-Oct-25 20:12 |
py3-flask-paginate-pyc-0.8.1-r6.apk | 11.2 KiB | 2024-Oct-25 20:12 |
py3-flask-components-0.1.1-r9.apk | 3.9 KiB | 2024-Oct-25 20:12 |
py3-click-default-group-pyc-1.2.4-r1.apk | 4.5 KiB | 2024-Oct-25 20:12 |
py3-iso639-lang-2.2.3-r0.apk | 269.0 KiB | 2024-Oct-25 20:12 |
py3-dexml-pyc-0.5.1-r9.apk | 37.5 KiB | 2024-Oct-25 20:12 |
py3-flask-markdown-pyc-0.3-r8.apk | 3.9 KiB | 2024-Oct-25 20:12 |
py3-glob2-0.7-r6.apk | 10.3 KiB | 2024-Oct-25 20:12 |
py3-aiodocker-0.21.0-r1.apk | 29.3 KiB | 2024-Oct-25 20:12 |
py3-itemloaders-pyc-1.3.2-r0.apk | 16.6 KiB | 2024-Oct-25 20:12 |
py3-imageio-pyc-2.35.1-r0.apk | 504.4 KiB | 2024-Oct-25 20:12 |
py3-kikit-pyc-1.6.0-r0.apk | 273.7 KiB | 2024-Oct-25 20:12 |
py3-flask-cache-pyc-0.13.1-r9.apk | 18.3 KiB | 2024-Oct-25 20:12 |
py3-asysocks-pyc-0.2.13-r0.apk | 231.8 KiB | 2024-Oct-25 20:12 |
py3-dominate-2.9.1-r1.apk | 24.8 KiB | 2024-Oct-25 20:12 |
py3-altgraph-pyc-0.17.4-r1.apk | 29.1 KiB | 2024-Oct-25 20:12 |
py3-imdbpy-pyc-2021.4.18-r5.apk | 242.6 KiB | 2024-Oct-25 20:12 |
py3-actdiag-3.0.0-r5.apk | 17.3 KiB | 2024-Oct-25 20:12 |
py3-flask-accept-0.0.6-r1.apk | 5.0 KiB | 2024-Oct-25 20:12 |
py3-asif-0.3.2-r3.apk | 13.3 KiB | 2024-Oct-25 20:12 |
py3-ecos-2.0.11-r4.apk | 27.5 KiB | 2024-Oct-25 20:12 |
py3-bidict-pyc-0.23.1-r1.apk | 28.8 KiB | 2024-Oct-25 20:12 |
py3-bottle-werkzeug-0.1.1-r9.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-firmata-1.0.3-r10.apk | 14.2 KiB | 2024-Oct-25 20:12 |
py3-bottle-redis-0.2.3-r6.apk | 3.3 KiB | 2024-Oct-25 20:12 |
py3-businesstime-pyc-0.3.0-r9.apk | 16.3 KiB | 2024-Oct-25 20:12 |
py3-cython-test-exception-raiser-1.0.2-r0.apk | 17.9 KiB | 2024-Oct-25 20:12 |
py3-flask-themer-2.0.0-r2.apk | 7.9 KiB | 2024-Oct-25 20:12 |
py3-aiosmb-0.4.11-r0.apk | 604.6 KiB | 2024-Oct-25 20:12 |
py3-bleak-0.22.3-r0.apk | 369.8 KiB | 2024-Oct-25 20:12 |
py3-flask-cache-0.13.1-r9.apk | 12.8 KiB | 2024-Oct-25 20:12 |
py3-colorthief-0.2.1-r1.apk | 7.3 KiB | 2024-Oct-25 20:12 |
py3-bottle-rest-0.6.0-r1.apk | 6.1 KiB | 2024-Oct-25 20:12 |
py3-funcparserlib-pyc-1.0.1-r4.apk | 18.7 KiB | 2024-Oct-25 20:12 |
py3-bitstruct-pyc-8.19.0-r1.apk | 12.8 KiB | 2024-Oct-25 20:12 |
py3-cookiecutter-2.6.0-r1.apk | 35.4 KiB | 2024-Oct-25 20:12 |
py3-cookiecutter-pyc-2.6.0-r1.apk | 47.5 KiB | 2024-Oct-25 20:12 |
py3-evohome-client-pyc-0.3.7-r4.apk | 27.0 KiB | 2024-Oct-25 20:12 |
py3-flake8-print-5.0.0-r5.apk | 6.7 KiB | 2024-Oct-25 20:12 |
py3-deluge-client-pyc-1.10.2-r0.apk | 19.7 KiB | 2024-Oct-25 20:12 |
py3-flask-accept-pyc-0.0.6-r1.apk | 3.7 KiB | 2024-Oct-25 20:12 |
py3-flask-cdn-pyc-1.5.3-r8.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-aiowinreg-pyc-0.0.12-r0.apk | 45.4 KiB | 2024-Oct-25 20:12 |
py3-dpath-2.2.0-r0.apk | 17.0 KiB | 2024-Oct-25 20:12 |
py3-flask-peewee-3.0.6-r0.apk | 172.0 KiB | 2024-Oct-25 20:12 |
py3-cchardet-2.1.7-r5.apk | 124.1 KiB | 2024-Oct-25 20:12 |
py3-jaraco.logging-3.3.0-r0.apk | 6.0 KiB | 2024-Oct-25 20:12 |
py3-edalize-0.5.4-r0.apk | 122.6 KiB | 2024-Oct-25 20:12 |
py3-cjkwrap-2.2-r4.apk | 4.6 KiB | 2024-Oct-25 20:12 |
py3-bottle-request-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-25 20:12 |
py3-async-lru-2.0.4-r1.apk | 7.3 KiB | 2024-Oct-25 20:12 |
py3-drf-yasg-pyc-1.21.7-r2.apk | 97.3 KiB | 2024-Oct-25 20:12 |
py3-hatch-openzim-0.2.0-r0.apk | 24.5 KiB | 2024-Oct-25 20:12 |
py3-flake8-blind-except-0.2.1-r4.apk | 5.2 KiB | 2024-Oct-25 20:12 |
py3-dotty-dict-pyc-1.3.1-r4.apk | 8.7 KiB | 2024-Oct-25 20:12 |
py3-junit-xml-pyc-1.9-r3.apk | 9.3 KiB | 2024-Oct-25 20:12 |
py3-bottle-sqlite-0.2.0-r7.apk | 4.7 KiB | 2024-Oct-25 20:12 |
py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.8 KiB | 2024-Oct-25 20:12 |
py3-anyascii-0.3.2-r1.apk | 274.7 KiB | 2024-Oct-25 20:12 |
py3-bottle-redis-pyc-0.2.3-r6.apk | 3.1 KiB | 2024-Oct-25 20:12 |
py3-anyascii-pyc-0.3.2-r1.apk | 3.3 KiB | 2024-Oct-25 20:12 |
py3-astral-3.2-r3.apk | 37.0 KiB | 2024-Oct-25 20:12 |
py3-chameleon-4.5.4-r0.apk | 97.5 KiB | 2024-Oct-25 20:12 |
py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.8 KiB | 2024-Oct-25 20:12 |
py3-c3d-0.5.2-r1.apk | 32.1 KiB | 2024-Oct-25 20:12 |
py3-clickclick-20.10.2-r4.apk | 8.0 KiB | 2024-Oct-25 20:12 |
py3-flask-security-pyc-5.4.3-r2.apk | 210.7 KiB | 2024-Oct-25 20:12 |
py3-flake8-snippets-pyc-0.2-r8.apk | 3.7 KiB | 2024-Oct-25 20:12 |
purple-facebook-0.9.6-r0.apk | 88.0 KiB | 2024-Oct-25 20:12 |
py3-bson-0.5.10-r6.apk | 11.7 KiB | 2024-Oct-25 20:12 |
py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.3 KiB | 2024-Oct-25 20:12 |
py3-flask-admin-pyc-1.6.1-r3.apk | 358.3 KiB | 2024-Oct-25 20:12 |
py3-google-trans-new-1.1.9-r2.apk | 9.2 KiB | 2024-Oct-25 20:12 |
py3-banal-pyc-1.0.6-r4.apk | 7.2 KiB | 2024-Oct-25 20:12 |
py3-hurry.filesize-0.9-r8.apk | 4.6 KiB | 2024-Oct-25 20:12 |
py3-glob2-pyc-0.7-r6.apk | 12.9 KiB | 2024-Oct-25 20:12 |
py3-iniparse-pyc-0.5-r7.apk | 24.6 KiB | 2024-Oct-25 20:12 |
py3-blockchain-pyc-1.4.4-r7.apk | 17.9 KiB | 2024-Oct-25 20:12 |
py3-banal-1.0.6-r4.apk | 6.9 KiB | 2024-Oct-25 20:12 |
py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.7 KiB | 2024-Oct-25 20:12 |
py3-aiowinreg-0.0.12-r0.apk | 23.1 KiB | 2024-Oct-25 20:12 |
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk | 10.9 KiB | 2024-Oct-25 20:12 |
py3-colander-2.0-r2.apk | 62.5 KiB | 2024-Oct-25 20:12 |
py3-jaraco.versioning-1.1.0-r0.apk | 5.9 KiB | 2024-Oct-25 20:12 |
py3-keepalive-doc-0.5-r5.apk | 2.0 KiB | 2024-Oct-25 20:12 |
py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.2 KiB | 2024-Oct-25 20:12 |
py3-allfiles-1.0-r8.apk | 3.6 KiB | 2024-Oct-25 20:12 |
py3-gevent-websocket-0.10.1-r8.apk | 19.7 KiB | 2024-Oct-25 20:12 |
py3-gls-1.3.1-r1.apk | 46.8 KiB | 2024-Oct-25 20:12 |
py3-cobs-1.2.0-r4.apk | 19.3 KiB | 2024-Oct-25 20:12 |
py3-feedgen-1.0.0-r1.apk | 40.2 KiB | 2024-Oct-25 20:12 |
py3-ciso8601-2.3.1-r1.apk | 15.6 KiB | 2024-Oct-25 20:12 |
py3-dotty-dict-1.3.1-r4.apk | 8.4 KiB | 2024-Oct-25 20:12 |
py3-asyauth-pyc-0.0.21-r0.apk | 171.4 KiB | 2024-Oct-25 20:12 |
py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.8 KiB | 2024-Oct-25 20:12 |
py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.6 KiB | 2024-Oct-25 20:12 |
py3-discid-1.2.0-r6.apk | 23.8 KiB | 2024-Oct-25 20:12 |
py3-aioxmpp-0.13.3-r3.apk | 387.7 KiB | 2024-Oct-25 20:12 |
py3-gls-pyc-1.3.1-r1.apk | 84.1 KiB | 2024-Oct-25 20:12 |
py3-iterable-io-1.0.0-r0.apk | 6.0 KiB | 2024-Oct-25 20:12 |
py3-daterangestr-0.0.3-r8.apk | 4.4 KiB | 2024-Oct-25 20:12 |
py3-ask-pyc-0.0.8-r8.apk | 4.5 KiB | 2024-Oct-25 20:12 |
py3-click-completion-0.5.2-r1.apk | 10.8 KiB | 2024-Oct-25 20:12 |
py3-flake8-debugger-pyc-4.1.2-r4.apk | 6.0 KiB | 2024-Oct-25 20:12 |
py3-jsonschema417-4.17.3-r1.apk | 73.3 KiB | 2024-Oct-25 20:12 |
py3-flake8-polyfill-1.0.2-r5.apk | 7.1 KiB | 2024-Oct-25 20:12 |
py3-git-versioner-7.1-r1.apk | 12.0 KiB | 2024-Oct-25 20:12 |
py3-downloader-cli-pyc-0.3.4-r1.apk | 14.3 KiB | 2024-Oct-25 20:12 |
pulseview-doc-0.4.2-r8.apk | 3.7 KiB | 2024-Oct-25 20:12 |
py3-flake8-isort-6.1.1-r1.apk | 18.2 KiB | 2024-Oct-25 20:12 |
purple-hangouts-0_git20200422-r0.apk | 228.4 KiB | 2024-Oct-25 20:12 |
py3-flake8-snippets-0.2-r8.apk | 5.3 KiB | 2024-Oct-25 20:12 |
py3-funcparserlib-1.0.1-r4.apk | 16.6 KiB | 2024-Oct-25 20:12 |
pxmenu-1.0.0-r1.apk | 2.9 KiB | 2024-Oct-25 20:12 |
py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-Oct-25 20:12 |
py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.7 KiB | 2024-Oct-25 20:12 |
py3-freetype-py-2.5.1-r0.apk | 160.9 KiB | 2024-Oct-25 20:12 |
py3-createrepo_c-pyc-1.1.4-r0.apk | 14.5 KiB | 2024-Oct-25 20:12 |
py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.3 KiB | 2024-Oct-25 20:12 |
py3-kikit-1.6.0-r0.apk | 239.2 KiB | 2024-Oct-25 20:12 |
pxalarm-3.0.0-r0.apk | 2.9 KiB | 2024-Oct-25 20:12 |
py3-barcodenumber-pyc-0.2.1-r10.apk | 4.3 KiB | 2024-Oct-25 20:12 |
py3-async-lru-pyc-2.0.4-r1.apk | 8.6 KiB | 2024-Oct-25 20:12 |
py3-columnize-0.3.11-r4.apk | 8.5 KiB | 2024-Oct-25 20:12 |
py3-distorm3-3.5.2-r6.apk | 47.3 KiB | 2024-Oct-25 20:12 |
py3-helper-2.5.0-r5.apk | 18.8 KiB | 2024-Oct-25 20:12 |
py3-doit-pyc-0.36.0-r5.apk | 133.2 KiB | 2024-Oct-25 20:12 |
py3-django-suit-0.2.28-r8.apk | 365.8 KiB | 2024-Oct-25 20:12 |
py3-flask-restaction-0.25.3-r8.apk | 114.8 KiB | 2024-Oct-25 20:12 |
py3-kerberos-1.3.1-r5.apk | 17.2 KiB | 2024-Oct-25 20:12 |
py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.3 KiB | 2024-Oct-25 20:12 |
py3-cvxpy-pyc-1.2.1-r5.apk | 936.1 KiB | 2024-Oct-25 20:12 |
pwauth-2.3.11-r2.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-apio-0.9.5-r0.apk | 72.4 KiB | 2024-Oct-25 20:12 |
py3-findpython-0.6.2-r0.apk | 17.3 KiB | 2024-Oct-25 20:12 |
py3-catkin-pkg-0.5.2-r4.apk | 57.1 KiB | 2024-Oct-25 20:12 |
py3-flask-json-schema-0.0.5-r4.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> | 14.9 KiB | 2024-Oct-25 20:12 |
py3-flask-components-pyc-0.1.1-r9.apk | 3.3 KiB | 2024-Oct-25 20:12 |
py3-firmata-pyc-1.0.3-r10.apk | 20.9 KiB | 2024-Oct-25 20:12 |
py3-aiosmb-pyc-0.4.11-r0.apk | 1.1 MiB | 2024-Oct-25 20:12 |
py3-avro-pyc-1.11.3-r1.apk | 191.4 KiB | 2024-Oct-25 20:12 |
py3-dexml-0.5.1-r9.apk | 22.2 KiB | 2024-Oct-25 20:12 |
py3-flake8-todo-0.7-r7.apk | 3.6 KiB | 2024-Oct-25 20:12 |
py3-bencode-pyc-4.0.0-r1.apk | 10.5 KiB | 2024-Oct-25 20:12 |
py3-aesedb-0.1.6-r2.apk | 37.2 KiB | 2024-Oct-25 20:12 |
py3-colander-pyc-2.0-r2.apk | 42.5 KiB | 2024-Oct-25 20:12 |
py3-imdbpy-2021.4.18-r5.apk | 229.0 KiB | 2024-Oct-25 20:12 |
py3-downloader-cli-0.3.4-r1.apk | 11.5 KiB | 2024-Oct-25 20:12 |
py3-edalize-pyc-0.5.4-r0.apk | 190.2 KiB | 2024-Oct-25 20:12 |
py3-drf-yasg-1.21.7-r2.apk | 4.1 MiB | 2024-Oct-25 20:12 |
py3-columnize-pyc-0.3.11-r4.apk | 7.5 KiB | 2024-Oct-25 20:12 |
py3-flask-mailman-1.1.1-r0.apk | 16.5 KiB | 2024-Oct-25 20:12 |
py3-cassandra-driver-pyc-3.29.2-r0.apk | 560.0 KiB | 2024-Oct-25 20:12 |
py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.7 KiB | 2024-Oct-25 20:12 |
py3-flake8-isort-pyc-6.1.1-r1.apk | 5.4 KiB | 2024-Oct-25 20:12 |
pw-volume-0.5.0-r1.apk | 329.3 KiB | 2024-Oct-25 20:12 |
py3-incoming-pyc-0.3.1-r8.apk | 19.9 KiB | 2024-Oct-25 20:12 |
py3-base58-2.1.1-r2.apk | 11.1 KiB | 2024-Oct-25 20:12 |
py3-aiosasl-0.5.0-r4.apk | 29.6 KiB | 2024-Oct-25 20:12 |
py3-irc-20.4.1-r0.apk | 40.9 KiB | 2024-Oct-25 20:12 |
py3-fpdf-1.7.2-r5.apk | 39.7 KiB | 2024-Oct-25 20:12 |
py3-cobs-pyc-1.2.0-r4.apk | 12.5 KiB | 2024-Oct-25 20:12 |
py3-certauth-1.3.0-r1.apk | 8.7 KiB | 2024-Oct-25 20:12 |
py3-ffmpeg-0.2.0-r4.apk | 24.0 KiB | 2024-Oct-25 20:12 |
py3-aioxmpp-doc-0.13.3-r3.apk | 18.3 KiB | 2024-Oct-25 20:12 |
py3-jaraco.path-3.7.2-r0.apk | 7.6 KiB | 2024-Oct-25 20:12 |
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.6 KiB | 2024-Oct-25 20:12 |
py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.2 KiB | 2024-Oct-25 20:12 |
py3-googletrans-pyc-3.0.0-r5.apk | 17.4 KiB | 2024-Oct-25 20:12 |
py3-iterable-io-pyc-1.0.0-r0.apk | 5.3 KiB | 2024-Oct-25 20:12 |
py3-aesedb-pyc-0.1.6-r2.apk | 75.7 KiB | 2024-Oct-25 20:12 |
py3-flask-httpauth-pyc-4.8.0-r2.apk | 10.6 KiB | 2024-Oct-25 20:12 |
py3-dataclasses-json-0.6.7-r0.apk | 27.4 KiB | 2024-Oct-25 20:12 |
py3-asif-pyc-0.3.2-r3.apk | 25.9 KiB | 2024-Oct-25 20:12 |
py3-ask-0.0.8-r8.apk | 5.0 KiB | 2024-Oct-25 20:12 |
py3-certauth-pyc-1.3.0-r1.apk | 9.1 KiB | 2024-Oct-25 20:12 |
py3-junit-xml-1.9-r3.apk | 8.3 KiB | 2024-Oct-25 20:12 |
py3-hg-git-pyc-1.1.1-r1.apk | 106.8 KiB | 2024-Oct-25 20:12 |
py3-deluge-client-doc-1.10.2-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
py3-createrepo_c-1.1.4-r0.apk | 42.4 KiB | 2024-Oct-25 20:12 |
py3-click-default-group-1.2.4-r1.apk | 5.1 KiB | 2024-Oct-25 20:12 |
py3-hatch-openzim-pyc-0.2.0-r0.apk | 13.0 KiB | 2024-Oct-25 20:12 |
py3-class-doc-1.25-r1.apk | 6.1 KiB | 2024-Oct-25 20:12 |
py3-editdistance-s-pyc-1.0.0-r6.apk | 2.0 KiB | 2024-Oct-25 20:12 |
py3-halo-pyc-0.0.31-r5.apk | 13.9 KiB | 2024-Oct-25 20:12 |
py3-flask-autorouter-0.2.2-r3.apk | 5.1 KiB | 2024-Oct-25 20:12 |
py3-bottle-session-1.0-r6.apk | 10.2 KiB | 2024-Oct-25 20:12 |
py3-aiosasl-doc-0.5.0-r4.apk | 16.5 KiB | 2024-Oct-25 20:12 |
py3-flask-dbconfig-0.3.12-r8.apk | 85.7 KiB | 2024-Oct-25 20:12 |
py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24.2 KiB | 2024-Oct-25 20:12 |
py3-itunespy-pyc-1.6-r4.apk | 14.8 KiB | 2024-Oct-25 20:12 |
py3-discid-pyc-1.2.0-r6.apk | 13.1 KiB | 2024-Oct-25 20:12 |
py3-kazoo-pyc-0_git20211202-r4.apk | 244.5 KiB | 2024-Oct-25 20:12 |
py3-euclid3-0.01-r8.apk | 14.2 KiB | 2024-Oct-25 20:12 |
py3-aiosasl-pyc-0.5.0-r4.apk | 23.9 KiB | 2024-Oct-25 20:12 |
py3-dpath-pyc-2.2.0-r0.apk | 17.8 KiB | 2024-Oct-25 20:12 |
py3-flask-httpauth-4.8.0-r2.apk | 8.0 KiB | 2024-Oct-25 20:12 |
py3-bottle-websocket-pyc-0.2.9-r8.apk | 3.1 KiB | 2024-Oct-25 20:12 |
py3-barcodenumber-0.2.1-r10.apk | 16.3 KiB | 2024-Oct-25 20:12 |
py3-intervals-0.9.2-r5.apk | 9.4 KiB | 2024-Oct-25 20:12 |
py3-bitstruct-8.19.0-r1.apk | 35.0 KiB | 2024-Oct-25 20:12 |
py3-kazoo-0_git20211202-r4.apk | 125.0 KiB | 2024-Oct-25 20:12 |
py3-cstruct-pyc-5.3-r1.apk | 36.1 KiB | 2024-Oct-25 20:12 |
py3-googletrans-3.0.0-r5.apk | 15.5 KiB | 2024-Oct-25 20:12 |
py3-bencode-4.0.0-r1.apk | 17.1 KiB | 2024-Oct-25 20:12 |
py3-dnslib-pyc-0.9.25-r0.apk | 108.9 KiB | 2024-Oct-25 20:12 |
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk | 1.8 KiB | 2024-Oct-25 20:12 |
py3-flask-restaction-pyc-0.25.3-r8.apk | 19.8 KiB | 2024-Oct-25 20:12 |
py3-infinity-pyc-1.5-r6.apk | 3.7 KiB | 2024-Oct-25 20:12 |
py3-flask-autorouter-pyc-0.2.2-r3.apk | 5.0 KiB | 2024-Oct-25 20:12 |
py3-distorm3-pyc-3.5.2-r6.apk | 48.7 KiB | 2024-Oct-25 20:12 |
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 51.2 KiB | 2024-Oct-25 20:12 |
py3-flake8-print-pyc-5.0.0-r5.apk | 4.4 KiB | 2024-Oct-25 20:12 |
py3-django-taggit-serializer-0.1.7-r8.apk | 4.0 KiB | 2024-Oct-25 20:12 |
py3-cvxpy-1.2.1-r5.apk | 680.1 KiB | 2024-Oct-25 20:12 |
py3-flask-basicauth-pyc-0.2.0-r9.apk | 4.1 KiB | 2024-Oct-25 20:12 |
py3-click-threading-pyc-0.5.0-r5.apk | 7.9 KiB | 2024-Oct-25 20:12 |
py3-fpdf-pyc-1.7.2-r5.apk | 89.2 KiB | 2024-Oct-25 20:12 |
py3-jaraco.path-pyc-3.7.2-r0.apk | 9.5 KiB | 2024-Oct-25 20:12 |
py3-iniparse-doc-0.5-r7.apk | 10.3 KiB | 2024-Oct-25 20:12 |
py3-cjkwrap-pyc-2.2-r4.apk | 5.2 KiB | 2024-Oct-25 20:12 |
py3-cmd2-pyc-2.4.3-r2.apk | 222.6 KiB | 2024-Oct-25 20:12 |
py3-flask-mailman-pyc-1.1.1-r0.apk | 25.7 KiB | 2024-Oct-25 20:12 |
py3-ffmpeg-pyc-0.2.0-r4.apk | 32.8 KiB | 2024-Oct-25 20:12 |
py3-bidict-0.23.1-r1.apk | 27.7 KiB | 2024-Oct-25 20:12 |
py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.9 KiB | 2024-Oct-25 20:12 |
py3-aiohttp-jinja2-1.6-r2.apk | 12.4 KiB | 2024-Oct-25 20:12 |
py3-class-doc-pyc-1.25-r1.apk | 8.7 KiB | 2024-Oct-25 20:12 |
py3-github3-4.0.1-r1.apk | 128.3 KiB | 2024-Oct-25 20:12 |
py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6.1 KiB | 2024-Oct-25 20:12 |
py3-editdistance-s-1.0.0-r6.apk | 16.6 KiB | 2024-Oct-25 20:12 |
py3-asysocks-0.2.13-r0.apk | 87.0 KiB | 2024-Oct-25 20:12 |
py3-forbiddenfruit-0.1.4-r2.apk | 9.0 KiB | 2024-Oct-25 20:12 |
py3-infinity-1.5-r6.apk | 4.4 KiB | 2024-Oct-25 20:12 |
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.7 KiB | 2024-Oct-25 20:12 |
py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 69.2 KiB | 2024-Oct-25 20:12 |
py3-bottle-request-0.2.0-r9.apk | 3.2 KiB | 2024-Oct-25 20:12 |
py3-enzyme-0.5.1-r0.apk | 23.2 KiB | 2024-Oct-25 20:12 |
py3-cmd2-2.4.3-r2.apk | 139.4 KiB | 2024-Oct-25 20:12 |
py3-enzyme-pyc-0.5.1-r0.apk | 18.9 KiB | 2024-Oct-25 20:12 |
py3-cchardet-pyc-2.1.7-r5.apk | 3.1 KiB | 2024-Oct-25 20:12 |
py3-flask-peewee-pyc-3.0.6-r0.apk | 95.5 KiB | 2024-Oct-25 20:12 |
py3-keepalive-pyc-0.5-r5.apk | 13.0 KiB | 2024-Oct-25 20:12 |
pwauth-doc-2.3.11-r2.apk | 6.8 KiB | 2024-Oct-25 20:12 |
py3-crc16-pyc-0.1.1-r10.apk | 4.7 KiB | 2024-Oct-25 20:12 |
py3-django-suit-pyc-0.2.28-r8.apk | 32.5 KiB | 2024-Oct-25 20:12 |
py3-feedgenerator-2.1.0-r2.apk | 18.0 KiB | 2024-Oct-25 20:12 |
py3-flask-loopback-pyc-1.4.7-r7.apk | 7.9 KiB | 2024-Oct-25 20:12 |
py3-asyauth-0.0.21-r0.apk | 79.5 KiB | 2024-Oct-25 20:12 |
py3-apio-pyc-0.9.5-r0.apk | 77.2 KiB | 2024-Oct-25 20:12 |
py3-click-threading-0.5.0-r5.apk | 6.3 KiB | 2024-Oct-25 20:12 |
py3-dataclasses-serialization-1.3.1-r3.apk | 11.0 KiB | 2024-Oct-25 20:12 |
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.3 KiB | 2024-Oct-25 20:12 |
py3-flask-paginate-0.8.1-r6.apk | 8.2 KiB | 2024-Oct-25 20:12 |
py3-gdcm-3.0.24-r0.apk | 690.3 KiB | 2024-Oct-25 20:12 |
py3-google-trans-new-pyc-1.1.9-r2.apk | 10.6 KiB | 2024-Oct-25 20:12 |
py3-agithub-2.2.2-r6.apk | 18.5 KiB | 2024-Oct-25 20:12 |
py3-bottle-rest-pyc-0.6.0-r1.apk | 5.2 KiB | 2024-Oct-25 20:12 |
py3-bson-pyc-0.5.10-r6.apk | 18.5 KiB | 2024-Oct-25 20:12 |
py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.8 KiB | 2024-Oct-25 20:12 |
py3-imageio-ffmpeg-0.4.9-r1.apk | 16.5 KiB | 2024-Oct-25 20:12 |
py3-flask-gzip-pyc-0.2-r8.apk | 2.8 KiB | 2024-Oct-25 20:12 |
py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.3 KiB | 2024-Oct-25 20:12 |
py3-compdb-0.2.0-r8.apk | 23.3 KiB | 2024-Oct-25 20:12 |
py3-bottle-api-0.0.4-r7.apk | 4.9 KiB | 2024-Oct-25 20:12 |
py3-flask-migrate-pyc-4.0.7-r0.apk | 18.1 KiB | 2024-Oct-25 20:12 |
py3-hfst-3.16.0-r2.apk | 382.4 KiB | 2024-Oct-25 20:12 |
py3-hurry.filesize-pyc-0.9-r8.apk | 3.3 KiB | 2024-Oct-25 20:12 |
py3-geoip-1.3.2-r4.apk | 22.7 KiB | 2024-Oct-25 20:12 |
py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-Oct-25 20:12 |
py3-flask-loopback-1.4.7-r7.apk | 5.6 KiB | 2024-Oct-25 20:12 |
py3-bottle-websocket-0.2.9-r8.apk | 4.6 KiB | 2024-Oct-25 20:12 |
prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.7 KiB | 2024-Oct-25 20:12 |
prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.6 KiB | 2024-Oct-25 20:12 |
ptpd-2.3.1-r1.apk | 180.3 KiB | 2024-Oct-25 20:12 |
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.2 KiB | 2024-Oct-25 20:12 |
prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> | 2.0 KiB | 2024-Oct-25 20:12 |
psftools-dev-1.1.2-r0.apk | 43.1 KiB | 2024-Oct-25 20:12 |
pspp-doc-2.0.1-r0.apk | 9.0 KiB | 2024-Oct-25 20:12 |
prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.5 KiB | 2024-Oct-25 20:12 |
pspp-2.0.1-r0.apk | 9.4 MiB | 2024-Oct-25 20:12 |
prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
ptylie-0.2-r1.apk | 12.2 KiB | 2024-Oct-25 20:12 |
pspp-dbg-2.0.1-r0.apk | 3.9 MiB | 2024-Oct-25 20:12 |
prosody-mod-mam-0.11_hg20201208-r0.apk | 5.9 KiB | 2024-Oct-25 20:12 |
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> | 2.0 KiB | 2024-Oct-25 20:12 |
prosody-mod-server_status-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> | 6.9 KiB | 2024-Oct-25 20:12 |
prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 20:12 |
prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 20:12 |
prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 20:12 |
prosody-modules-0.11_hg20201208-r0.apk | 1.5 KiB | 2024-Oct-25 20:12 |
ptpd-doc-2.3.1-r1.apk | 20.3 KiB | 2024-Oct-25 20:12 |
prosody-mod-http_upload_external-0.11_hg2020120..> | 2.9 KiB | 2024-Oct-25 20:12 |
prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.6 KiB | 2024-Oct-25 20:12 |
prosody-mod-register_redirect-0.11_hg20201208-r..> | 2.7 KiB | 2024-Oct-25 20:12 |
prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.6 KiB | 2024-Oct-25 20:12 |
psftools-doc-1.1.2-r0.apk | 59.6 KiB | 2024-Oct-25 20:12 |
prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-Oct-25 20:12 |
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-25 20:12 |
prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 20:12 |
psi-notify-1.3.1-r0.apk | 11.8 KiB | 2024-Oct-25 20:12 |
pully-openrc-1.0.0-r0.apk | 1.7 KiB | 2024-Oct-25 20:12 |
psi-plus-plugins-1.5.1965-r0.apk | 1.8 MiB | 2024-Oct-25 20:12 |
pully-1.0.0-r0.apk | 2.5 KiB | 2024-Oct-25 20:12 |
proot-doc-5.4.0-r1.apk | 10.3 KiB | 2024-Oct-25 20:12 |
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 20:12 |
psst-0_git20240526-r1.apk | 7.4 MiB | 2024-Oct-25 20:12 |
psi-plus-1.5.1965-r0.apk | 8.9 MiB | 2024-Oct-25 20:12 |
prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 20:12 |
ptylie-doc-0.2-r1.apk | 3.2 KiB | 2024-Oct-25 20:12 |
psftools-1.1.2-r0.apk | 190.4 KiB | 2024-Oct-25 20:12 |
prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 20:12 |
prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-Oct-25 20:12 |
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
proot-5.4.0-r1.apk | 79.5 KiB | 2024-Oct-25 20:12 |
prosody-mod-block_registrations-0.11_hg20201208..> | 1.8 KiB | 2024-Oct-25 20:12 |
prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
proot-static-5.4.0-r1.apk | 116.6 KiB | 2024-Oct-25 20:12 |
ptpd-openrc-2.3.1-r1.apk | 2.4 KiB | 2024-Oct-25 20:12 |
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.7 KiB | 2024-Oct-25 20:12 |
primecount-doc-7.14-r0.apk | 3.8 KiB | 2024-Oct-25 20:12 |
postgresql-pg_later-0.0.14-r1.apk | 622.5 KiB | 2024-Oct-25 20:12 |
powerstat-0.04.01-r0.apk | 20.5 KiB | 2024-Oct-25 20:12 |
projectm-sdl-3.1.12-r2.apk | 338.6 KiB | 2024-Oct-25 20:12 |
portsmf-239-r1.apk | 63.2 KiB | 2024-Oct-25 20:12 |
ppl-1.2-r1.apk | 40.4 KiB | 2024-Oct-25 20:12 |
projectm-3.1.12-r2.apk | 461.8 KiB | 2024-Oct-25 20:12 |
projectm-pulseaudio-3.1.12-r2.apk | 434.0 KiB | 2024-Oct-25 20:12 |
postgresql-pg_partman-5.0.0-r0.apk | 971.3 KiB | 2024-Oct-25 20:12 |
prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-Oct-25 20:12 |
prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-Oct-25 20:12 |
prjtrellis-1.4-r2.apk | 1.3 MiB | 2024-Oct-25 20:12 |
projectm-pulseaudio-doc-3.1.12-r2.apk | 2.0 KiB | 2024-Oct-25 20:12 |
prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.4 KiB | 2024-Oct-25 20:12 |
projectm-dev-3.1.12-r2.apk | 604.7 KiB | 2024-Oct-25 20:12 |
powder-toy-97.0.352-r1.apk | 848.0 KiB | 2024-Oct-25 20:12 |
portsmf-dev-239-r1.apk | 20.3 KiB | 2024-Oct-25 20:12 |
ppl-doc-1.2-r1.apk | 9.1 MiB | 2024-Oct-25 20:12 |
ppl-dev-1.2-r1.apk | 607.5 KiB | 2024-Oct-25 20:12 |
pptpclient-doc-1.10.0-r5.apk | 7.2 KiB | 2024-Oct-25 20:12 |
primecount-dev-7.14-r0.apk | 2.0 MiB | 2024-Oct-25 20:12 |
primecount-libs-7.14-r0.apk | 152.6 KiB | 2024-Oct-25 20:12 |
postgresql-pgmq-1.1.1-r1.apk | 263.6 KiB | 2024-Oct-25 20:12 |
projectsandcastle-loader-0_git20200307-r1.apk | 4.9 KiB | 2024-Oct-25 20:12 |
pounce-openrc-3.1-r3.apk | 2.9 KiB | 2024-Oct-25 20:12 |
postgresql-pg_partman-doc-5.0.0-r0.apk | 47.4 KiB | 2024-Oct-25 20:12 |
pounce-3.1-r3.apk | 28.9 KiB | 2024-Oct-25 20:12 |
primecount-7.14-r0.apk | 32.0 KiB | 2024-Oct-25 20:12 |
projectm-presets-3.1.12-r2.apk | 4.4 MiB | 2024-Oct-25 20:12 |
postgresql-pg_variables-bitcode-1.2.5_git202309..> | 52.3 KiB | 2024-Oct-25 20:12 |
powerstat-doc-0.04.01-r0.apk | 4.3 KiB | 2024-Oct-25 20:12 |
pounce-doc-3.1-r3.apk | 8.6 KiB | 2024-Oct-25 20:12 |
powerstat-bash-completion-0.04.01-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
pptpclient-1.10.0-r5.apk | 33.1 KiB | 2024-Oct-25 20:12 |
postgresql16-wal2json-2.6-r0.apk | 72.2 KiB | 2024-Oct-25 20:12 |
postgresql-pg_partman-scripts-5.0.0-r0.apk | 7.7 KiB | 2024-Oct-25 20:12 |
postgresql-pg_partman-bitcode-5.0.0-r0.apk | 21.9 KiB | 2024-Oct-25 20:12 |
pqiv-2.12-r1.apk | 71.8 KiB | 2024-Oct-25 20:12 |
postgresql-pg_variables-1.2.5_git20230922-r0.apk | 26.0 KiB | 2024-Oct-25 20:12 |
prjtrellis-db-machxo-0_git20230929-r0.apk | 39.2 KiB | 2024-Oct-25 20:12 |
prjtrellis-db-0_git20230929-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
pqiv-doc-2.12-r1.apk | 12.0 KiB | 2024-Oct-25 20:12 |
prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.7 KiB | 2024-Oct-25 20:12 |
pnmixer-lang-0.7.2-r3.apk | 24.8 KiB | 2024-Oct-25 20:12 |
plplot-libs-5.15.0-r2.apk | 215.8 KiB | 2024-Oct-25 20:12 |
polyglot-doc-2.0.4-r1.apk | 47.6 KiB | 2024-Oct-25 20:12 |
piping-server-0.18.0-r0.apk | 1.3 MiB | 2024-Oct-25 20:12 |
pnmixer-0.7.2-r3.apk | 142.2 KiB | 2024-Oct-25 20:12 |
pithos-doc-1.6.1-r0.apk | 2.1 KiB | 2024-Oct-25 20:12 |
planarity-dev-3.0.2.0-r2.apk | 19.3 KiB | 2024-Oct-25 20:12 |
pithos-1.6.1-r0.apk | 104.5 KiB | 2024-Oct-25 20:12 |
pixi-doc-0.24.2-r0.apk | 6.9 KiB | 2024-Oct-25 20:12 |
planarity-3.0.2.0-r2.apk | 9.8 KiB | 2024-Oct-25 20:12 |
pnmixer-doc-0.7.2-r3.apk | 2.3 KiB | 2024-Oct-25 20:12 |
policycoreutils-doc-3.6-r1.apk | 22.3 KiB | 2024-Oct-25 20:12 |
plplot-5.15.0-r2.apk | 31.3 KiB | 2024-Oct-25 20:12 |
please-doc-0.5.5-r0.apk | 15.8 KiB | 2024-Oct-25 20:12 |
pixi-fish-completion-0.24.2-r0.apk | 10.1 KiB | 2024-Oct-25 20:12 |
pokoy-0.2.5-r0.apk | 11.1 KiB | 2024-Oct-25 20:12 |
pixiewps-doc-1.4.2-r1.apk | 3.4 KiB | 2024-Oct-25 20:12 |
policycoreutils-3.6-r1.apk | 53.3 KiB | 2024-Oct-25 20:12 |
pixi-zsh-completion-0.24.2-r0.apk | 10.4 KiB | 2024-Oct-25 20:12 |
pimd-dense-doc-2.1.0-r0.apk | 19.7 KiB | 2024-Oct-25 20:12 |
pongoos-loader-0_git20210704-r1.apk | 2.4 KiB | 2024-Oct-25 20:12 |
pixi-0.24.2-r0.apk | 8.6 MiB | 2024-Oct-25 20:12 |
polyglot-2.0.4-r1.apk | 65.6 KiB | 2024-Oct-25 20:12 |
planarity-libs-3.0.2.0-r2.apk | 77.6 KiB | 2024-Oct-25 20:12 |
pipectl-0.4.1-r1.apk | 6.4 KiB | 2024-Oct-25 20:12 |
plib-1.8.5-r3.apk | 853.1 KiB | 2024-Oct-25 20:12 |
pokoy-doc-0.2.5-r0.apk | 3.0 KiB | 2024-Oct-25 20:12 |
pimd-dense-openrc-2.1.0-r0.apk | 1.9 KiB | 2024-Oct-25 20:12 |
pimd-dense-2.1.0-r0.apk | 56.8 KiB | 2024-Oct-25 20:12 |
please-0.5.5-r0.apk | 1005.6 KiB | 2024-Oct-25 20:12 |
policycoreutils-bash-completion-3.6-r1.apk | 2.4 KiB | 2024-Oct-25 20:12 |
platformio-core-6.1.7-r3.apk | 262.5 KiB | 2024-Oct-25 20:12 |
pipectl-doc-0.4.1-r1.apk | 3.0 KiB | 2024-Oct-25 20:12 |
pithos-pyc-1.6.1-r0.apk | 154.3 KiB | 2024-Oct-25 20:12 |
poke-4.2-r0.apk | 1.2 MiB | 2024-Oct-25 20:12 |
planarity-doc-3.0.2.0-r2.apk | 12.9 KiB | 2024-Oct-25 20:12 |
pixiewps-1.4.2-r1.apk | 40.6 KiB | 2024-Oct-25 20:12 |
pmccabe-2.8-r1.apk | 22.3 KiB | 2024-Oct-25 20:12 |
policycoreutils-lang-3.6-r1.apk | 105.4 KiB | 2024-Oct-25 20:12 |
plplot-dev-5.15.0-r2.apk | 59.0 KiB | 2024-Oct-25 20:12 |
poke-doc-4.2-r0.apk | 200.6 KiB | 2024-Oct-25 20:12 |
plplot-doc-5.15.0-r2.apk | 310.6 KiB | 2024-Oct-25 20:12 |
pmccabe-doc-2.8-r1.apk | 7.1 KiB | 2024-Oct-25 20:12 |
pixi-bash-completion-0.24.2-r0.apk | 7.2 KiB | 2024-Oct-25 20:12 |
piping-server-openrc-0.18.0-r0.apk | 1.8 KiB | 2024-Oct-25 20:12 |
platformio-core-pyc-6.1.7-r3.apk | 551.8 KiB | 2024-Oct-25 20:12 |
pimd-doc-3.0_git20220201-r0.apk | 34.8 KiB | 2024-Oct-25 20:12 |
pimd-3.0_git20220201-r0.apk | 90.7 KiB | 2024-Oct-25 20:12 |
pimd-openrc-3.0_git20220201-r0.apk | 1.6 KiB | 2024-Oct-25 20:12 |
pick-4.0.0-r0.apk | 9.7 KiB | 2024-Oct-25 20:12 |
pict-rs-0.5.16-r1.apk | 5.4 MiB | 2024-Oct-25 20:12 |
php84-pecl-ev-1.2.0-r1.apk | 41.8 KiB | 2024-Oct-25 20:12 |
pict-rs-openrc-0.5.16-r1.apk | 1.9 KiB | 2024-Oct-25 20:12 |
pidif-0.1-r1.apk | 164.7 KiB | 2024-Oct-25 20:12 |
phpactor-2024.06.30.0-r0.apk | 3.8 MiB | 2024-Oct-25 20:12 |
php84-pecl-oauth-2.0.9-r0.apk | 35.3 KiB | 2024-Oct-25 20:12 |
pick-doc-4.0.0-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
php81-pecl-luasandbox-4.1.2-r0.apk | 31.4 KiB | 2024-Oct-25 20:12 |
php81-pecl-ssh2-1.4.1-r0.apk | 27.0 KiB | 2024-Oct-25 20:12 |
php82-pecl-jsmin-3.0.0-r0.apk | 10.7 KiB | 2024-Oct-25 20:12 |
php81-pecl-mailparse-3.1.8-r0.apk | 24.2 KiB | 2024-Oct-25 20:12 |
php81-pecl-jsmin-3.0.0-r0.apk | 10.7 KiB | 2024-Oct-25 20:12 |
php81-pecl-decimal-1.5.0-r1.apk | 18.9 KiB | 2024-Oct-25 20:12 |
php81-pecl-oauth-2.0.9-r0.apk | 35.0 KiB | 2024-Oct-25 20:12 |
php81-pecl-psr-1.2.0-r0.apk | 16.9 KiB | 2024-Oct-25 20:12 |
php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.6 KiB | 2024-Oct-25 20:12 |
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 37.5 KiB | 2024-Oct-25 20:12 |
php82-pecl-vld-0.18.0-r0.apk | 16.6 KiB | 2024-Oct-25 20:12 |
php83-pecl-ev-1.2.0-r0.apk | 41.7 KiB | 2024-Oct-25 20:12 |
php81-pecl-ast-1.1.2-r0.apk | 19.4 KiB | 2024-Oct-25 20:12 |
php81-pecl-ds-1.5.0-r0.apk | 61.7 KiB | 2024-Oct-25 20:12 |
php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.9 KiB | 2024-Oct-25 20:12 |
php82-snappy-0.2.1-r1.apk | 5.4 KiB | 2024-Oct-25 20:12 |
php83-pecl-oauth-2.0.9-r0.apk | 35.2 KiB | 2024-Oct-25 20:12 |
php81-pecl-igbinary-3.2.16-r0.apk | 32.9 KiB | 2024-Oct-25 20:12 |
php83-pecl-uv-0.3.0-r0.apk | 49.4 KiB | 2024-Oct-25 20:12 |
php82-pecl-oauth-2.0.9-r0.apk | 35.2 KiB | 2024-Oct-25 20:12 |
php83-pecl-jsmin-3.0.0-r0.apk | 10.7 KiB | 2024-Oct-25 20:12 |
php83-pecl-zmq-1.1.4-r0.apk | 31.6 KiB | 2024-Oct-25 20:12 |
php81-pecl-yaml-2.2.4-r0.apk | 18.8 KiB | 2024-Oct-25 20:12 |
php82-pecl-apfd-1.0.3-r0.apk | 4.6 KiB | 2024-Oct-25 20:12 |
php81-pecl-amqp-2.1.2-r0.apk | 50.9 KiB | 2024-Oct-25 20:12 |
php81-tideways_xhprof-5.0.4-r1.apk | 14.2 KiB | 2024-Oct-25 20:12 |
php81-pecl-lzf-1.7.0-r0.apk | 7.4 KiB | 2024-Oct-25 20:12 |
php81-pecl-uuid-1.2.1-r0.apk | 6.5 KiB | 2024-Oct-25 20:12 |
php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 29.9 KiB | 2024-Oct-25 20:12 |
php81-pecl-immutable_cache-6.1.0-r0.apk | 42.1 KiB | 2024-Oct-25 20:12 |
php81-pecl-uploadprogress-2.0.2-r1.apk | 6.7 KiB | 2024-Oct-25 20:12 |
php83-pecl-vld-0.18.0-r1.apk | 14.9 KiB | 2024-Oct-25 20:12 |
php81-pecl-event-3.1.4-r0.apk | 50.9 KiB | 2024-Oct-25 20:12 |
php82-pecl-teds-1.3.0-r0.apk | 125.7 KiB | 2024-Oct-25 20:12 |
php81-pecl-apcu-5.1.24-r0.apk | 56.4 KiB | 2024-Oct-25 20:12 |
php81-pecl-xhprof-2.3.10-r0.apk | 12.7 KiB | 2024-Oct-25 20:12 |
php83-pecl-eio-3.1.3-r0.apk | 28.7 KiB | 2024-Oct-25 20:12 |
php82-pecl-immutable_cache-6.1.0-r0.apk | 42.3 KiB | 2024-Oct-25 20:12 |
php81-pecl-mcrypt-1.0.7-r0.apk | 14.7 KiB | 2024-Oct-25 20:12 |
php83-pecl-apfd-1.0.3-r0.apk | 4.6 KiB | 2024-Oct-25 20:12 |
php81-pecl-memcache-8.2-r1.apk | 42.9 KiB | 2024-Oct-25 20:12 |
perl-rxperl-mojo-6.8.2-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
perl-freezethaw-0.5001-r2.apk | 9.8 KiB | 2024-Oct-25 20:12 |
perl-snmp-5.0404-r13.apk | 74.4 KiB | 2024-Oct-25 20:12 |
par-doc-1.53.0-r1.apk | 30.3 KiB | 2024-Oct-25 20:12 |
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4.0 KiB | 2024-Oct-25 20:12 |
pam-krb5-doc-4.11-r1.apk | 23.6 KiB | 2024-Oct-25 20:12 |
pantalaimon-0.10.5-r4.apk | 44.8 KiB | 2024-Oct-25 20:12 |
pamtester-doc-0.1.2-r4.apk | 2.9 KiB | 2024-Oct-25 20:12 |
perl-proc-guard-0.07-r4.apk | 3.7 KiB | 2024-Oct-25 20:12 |
perl-cpan-changes-0.500004-r0.apk | 13.7 KiB | 2024-Oct-25 20:12 |
perl-regexp-grammars-doc-1.058-r0.apk | 47.8 KiB | 2024-Oct-25 20:12 |
perl-anyevent-future-doc-0.05-r0.apk | 5.4 KiB | 2024-Oct-25 20:12 |
perl-net-jabber-2.0-r0.apk | 50.6 KiB | 2024-Oct-25 20:12 |
perl-ryu-async-doc-0.020-r0.apk | 11.8 KiB | 2024-Oct-25 20:12 |
perl-email-mime-attachment-stripper-1.317-r5.apk | 4.0 KiB | 2024-Oct-25 20:12 |
perl-graphql-client-0.605-r0.apk | 7.1 KiB | 2024-Oct-25 20:12 |
perl-css-object-0.2.0-r0.apk | 22.8 KiB | 2024-Oct-25 20:12 |
perl-x-tiny-doc-0.22-r0.apk | 7.6 KiB | 2024-Oct-25 20:12 |
perl-promise-es6-anyevent-0.28-r0.apk | 2.5 KiB | 2024-Oct-25 20:12 |
perl-b-hooks-op-check-doc-0.22-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
perl-throwable-1.001-r1.apk | 6.2 KiB | 2024-Oct-25 20:12 |
perl-json-path-1.0.6-r0.apk | 16.2 KiB | 2024-Oct-25 20:12 |
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.8 KiB | 2024-Oct-25 20:12 |
perl-template-plugin-csv-doc-0.04-r3.apk | 3.0 KiB | 2024-Oct-25 20:12 |
perl-string-crc32-2.100-r4.apk | 7.4 KiB | 2024-Oct-25 20:12 |
perl-test-describeme-0.004-r0.apk | 3.6 KiB | 2024-Oct-25 20:12 |
perl-anyevent-riperedis-doc-0.48-r0.apk | 10.3 KiB | 2024-Oct-25 20:12 |
perl-mojo-sqlite-doc-3.009-r0.apk | 19.4 KiB | 2024-Oct-25 20:12 |
perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.5 KiB | 2024-Oct-25 20:12 |
perl-algorithm-permute-doc-0.17-r0.apk | 5.0 KiB | 2024-Oct-25 20:12 |
perl-archive-extract-doc-0.88-r1.apk | 6.8 KiB | 2024-Oct-25 20:12 |
paperde-0.2.1-r2.apk | 672.0 KiB | 2024-Oct-25 20:12 |
perl-dns-unbound-doc-0.29-r1.apk | 15.3 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-entry-plugin-completion-0.02..> | 4.6 KiB | 2024-Oct-25 20:12 |
perl-archive-extract-0.88-r1.apk | 15.7 KiB | 2024-Oct-25 20:12 |
perl-test2-tools-explain-0.02-r0.apk | 3.8 KiB | 2024-Oct-25 20:12 |
perl-sort-naturally-doc-1.03-r4.apk | 5.5 KiB | 2024-Oct-25 20:12 |
perl-protocol-database-postgresql-doc-2.001-r0.apk | 35.8 KiB | 2024-Oct-25 20:12 |
pantalaimon-pyc-0.10.5-r4.apk | 82.9 KiB | 2024-Oct-25 20:12 |
perl-net-xmpp-1.05-r0.apk | 57.7 KiB | 2024-Oct-25 20:12 |
perl-plack-middleware-expires-0.06-r3.apk | 3.9 KiB | 2024-Oct-25 20:12 |
perl-time-moment-role-timezone-1.000-r0.apk | 3.6 KiB | 2024-Oct-25 20:12 |
perl-multidimensional-doc-0.014-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
perl-statistics-descriptive-3.0801-r0.apk | 30.3 KiB | 2024-Oct-25 20:12 |
perl-list-binarysearch-doc-0.25-r0.apk | 11.5 KiB | 2024-Oct-25 20:12 |
perl-data-validate-ip-0.31-r1.apk | 8.8 KiB | 2024-Oct-25 20:12 |
perl-uri-redis-doc-0.02-r0.apk | 4.6 KiB | 2024-Oct-25 20:12 |
perl-number-tolerant-1.710-r0.apk | 14.8 KiB | 2024-Oct-25 20:12 |
perl-data-validate-ip-doc-0.31-r1.apk | 5.9 KiB | 2024-Oct-25 20:12 |
perl-algorithm-evolutionary-0.82.1-r0.apk | 78.7 KiB | 2024-Oct-25 20:12 |
perl-test-roo-1.004-r3.apk | 11.9 KiB | 2024-Oct-25 20:12 |
perl-list-keywords-doc-0.11-r0.apk | 5.5 KiB | 2024-Oct-25 20:12 |
perl-starman-doc-0.4017-r0.apk | 10.0 KiB | 2024-Oct-25 20:12 |
perl-mastodon-client-0.017-r0.apk | 22.1 KiB | 2024-Oct-25 20:12 |
perl-math-random-isaac-xs-1.004-r8.apk | 8.0 KiB | 2024-Oct-25 20:12 |
perl-uri-tcp-2.0.0-r0.apk | 2.7 KiB | 2024-Oct-25 20:12 |
perl-ryu-async-0.020-r0.apk | 7.5 KiB | 2024-Oct-25 20:12 |
perl-glib-object-introspection-0.051-r1.apk | 63.5 KiB | 2024-Oct-25 20:12 |
perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.7 KiB | 2024-Oct-25 20:12 |
perl-future-queue-doc-0.52-r0.apk | 4.3 KiB | 2024-Oct-25 20:12 |
perl-ppi-xs-doc-0.910-r1.apk | 3.4 KiB | 2024-Oct-25 20:12 |
perl-glib-object-introspection-doc-0.051-r1.apk | 11.1 KiB | 2024-Oct-25 20:12 |
perl-uri-fetch-doc-0.15-r0.apk | 7.5 KiB | 2024-Oct-25 20:12 |
perl-math-int64-0.57-r1.apk | 34.8 KiB | 2024-Oct-25 20:12 |
perl-syntax-operator-equ-doc-0.10-r0.apk | 6.5 KiB | 2024-Oct-25 20:12 |
perl-plack-middleware-expires-doc-0.06-r3.apk | 3.4 KiB | 2024-Oct-25 20:12 |
perl-promise-me-0.5.0-r0.apk | 25.6 KiB | 2024-Oct-25 20:12 |
perl-algorithm-permute-0.17-r0.apk | 13.1 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-netaddr-mac-doc-0.98-r1.apk | 8.0 KiB | 2024-Oct-25 20:12 |
perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.7 KiB | 2024-Oct-25 20:12 |
perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.5 KiB | 2024-Oct-25 20:12 |
phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2024-Oct-25 20:12 |
perl-data-checks-0.10-r0.apk | 24.0 KiB | 2024-Oct-25 20:12 |
perl-string-camelcase-doc-0.04-r2.apk | 3.5 KiB | 2024-Oct-25 20:12 |
perl-xml-rpc-2.1-r0.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-signature-attribute-checked-0.06-r0.apk | 8.0 KiB | 2024-Oct-25 20:12 |
perl-html-selector-xpath-doc-0.28-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-class-accessor-grouped-0.10014-r2.apk | 12.0 KiB | 2024-Oct-25 20:12 |
pdf2svg-0.2.3-r1.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-graphql-client-doc-0.605-r0.apk | 14.0 KiB | 2024-Oct-25 20:12 |
perl-libapreq2-doc-2.17-r2.apk | 37.1 KiB | 2024-Oct-25 20:12 |
perl-list-binarysearch-0.25-r0.apk | 10.0 KiB | 2024-Oct-25 20:12 |
parcellite-lang-1.2.5-r0.apk | 48.8 KiB | 2024-Oct-25 20:12 |
perl-net-idn-encode-doc-2.500-r1.apk | 21.7 KiB | 2024-Oct-25 20:12 |
perl-lv-backend-sentinel-0.006-r0.apk | 2.0 KiB | 2024-Oct-25 20:12 |
pdm-2.18.2-r0.apk | 227.3 KiB | 2024-Oct-25 20:12 |
perl-ev-hiredis-0.07-r1.apk | 13.8 KiB | 2024-Oct-25 20:12 |
perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.4 KiB | 2024-Oct-25 20:12 |
perl-data-checks-doc-0.10-r0.apk | 8.2 KiB | 2024-Oct-25 20:12 |
perl-database-async-doc-0.019-r0.apk | 29.2 KiB | 2024-Oct-25 20:12 |
perl-session-storage-secure-1.000-r2.apk | 8.8 KiB | 2024-Oct-25 20:12 |
perl-dns-unbound-ioasync-0.29-r1.apk | 2.4 KiB | 2024-Oct-25 20:12 |
pacparser-1.4.5-r1.apk | 707.1 KiB | 2024-Oct-25 20:12 |
perl-test-modern-doc-0.013-r3.apk | 9.9 KiB | 2024-Oct-25 20:12 |
perl-net-curl-promiser-mojo-0.20-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
perl-devel-leak-doc-0.03-r13.apk | 3.3 KiB | 2024-Oct-25 20:12 |
perl-gtk2-1.24993-r6.apk | 933.9 KiB | 2024-Oct-25 20:12 |
perl-graphql-client-cli-0.605-r0.apk | 7.8 KiB | 2024-Oct-25 20:12 |
perl-time-moment-role-timezone-doc-1.000-r0.apk | 4.0 KiB | 2024-Oct-25 20:12 |
perl-file-rename-doc-2.02-r0.apk | 12.1 KiB | 2024-Oct-25 20:12 |
pasystray-doc-0.8.2-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
perl-net-async-redis-xs-doc-1.001-r1.apk | 5.3 KiB | 2024-Oct-25 20:12 |
perl-rxperl-anyevent-doc-6.8.1-r0.apk | 9.0 KiB | 2024-Oct-25 20:12 |
perl-sql-abstract-classic-doc-1.91-r1.apk | 20.2 KiB | 2024-Oct-25 20:12 |
perl-protocol-database-postgresql-2.001-r0.apk | 19.2 KiB | 2024-Oct-25 20:12 |
perl-time-moment-role-strptime-doc-0.001-r0.apk | 3.4 KiB | 2024-Oct-25 20:12 |
pastel-0.10.0-r0.apk | 439.0 KiB | 2024-Oct-25 20:12 |
perl-log-fu-0.31-r4.apk | 10.5 KiB | 2024-Oct-25 20:12 |
perl-term-ui-0.50-r1.apk | 10.0 KiB | 2024-Oct-25 20:12 |
perl-git-version-compare-1.005-r0.apk | 5.4 KiB | 2024-Oct-25 20:12 |
percona-toolkit-doc-3.5.4-r1.apk | 297.5 KiB | 2024-Oct-25 20:12 |
perl-list-binarysearch-xs-0.09-r1.apk | 12.6 KiB | 2024-Oct-25 20:12 |
perl-sort-versions-doc-1.62-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-devel-leak-0.03-r13.apk | 7.2 KiB | 2024-Oct-25 20:12 |
perl-dancer-session-cookie-doc-0.30-r2.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-uri-fetch-0.15-r0.apk | 7.1 KiB | 2024-Oct-25 20:12 |
perl-list-keywords-0.11-r0.apk | 15.3 KiB | 2024-Oct-25 20:12 |
perl-future-queue-0.52-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-openapi-client-doc-1.07-r0.apk | 7.4 KiB | 2024-Oct-25 20:12 |
perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.7 KiB | 2024-Oct-25 20:12 |
perl-gtk2-ex-widgetbits-48-r3.apk | 65.7 KiB | 2024-Oct-25 20:12 |
perl-test-unit-doc-0.27-r0.apk | 48.3 KiB | 2024-Oct-25 20:12 |
perl-indirect-0.39-r1.apk | 16.7 KiB | 2024-Oct-25 20:12 |
perl-math-libm-doc-1.00-r14.apk | 3.1 KiB | 2024-Oct-25 20:12 |
perl-protocol-redis-faster-doc-0.003-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
perl-session-storage-secure-doc-1.000-r2.apk | 7.4 KiB | 2024-Oct-25 20:12 |
perl-flowd-0.9.1-r10.apk | 22.1 KiB | 2024-Oct-25 20:12 |
perl-soap-lite-doc-1.27-r5.apk | 90.5 KiB | 2024-Oct-25 20:12 |
perl-net-address-ip-local-0.1.2-r0.apk | 3.5 KiB | 2024-Oct-25 20:12 |
peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2024-Oct-25 20:12 |
perl-mojo-redis-doc-3.29-r0.apk | 24.6 KiB | 2024-Oct-25 20:12 |
perl-minion-doc-10.31-r0.apk | 49.0 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.5 KiB | 2024-Oct-25 20:12 |
perl-json-validator-doc-5.14-r0.apk | 33.2 KiB | 2024-Oct-25 20:12 |
perl-test-useallmodules-doc-0.17-r1.apk | 3.9 KiB | 2024-Oct-25 20:12 |
perl-xml-stream-doc-1.24-r0.apk | 17.7 KiB | 2024-Oct-25 20:12 |
perl-system-command-1.122-r0.apk | 11.8 KiB | 2024-Oct-25 20:12 |
perl-color-ansi-util-0.165-r0.apk | 7.3 KiB | 2024-Oct-25 20:12 |
perl-dbix-datasource-0.02-r5.apk | 4.3 KiB | 2024-Oct-25 20:12 |
perl-json-maybeutf8-doc-2.000-r0.apk | 3.6 KiB | 2024-Oct-25 20:12 |
perl-log-message-doc-0.08-r3.apk | 12.2 KiB | 2024-Oct-25 20:12 |
pamtester-0.1.2-r4.apk | 9.0 KiB | 2024-Oct-25 20:12 |
perl-cgi-expand-doc-2.05-r4.apk | 6.2 KiB | 2024-Oct-25 20:12 |
perl-net-netmask-doc-2.0002-r2.apk | 8.5 KiB | 2024-Oct-25 20:12 |
perl-barcode-zbar-doc-0.10-r3.apk | 12.6 KiB | 2024-Oct-25 20:12 |
perl-uri-nested-0.10-r0.apk | 4.0 KiB | 2024-Oct-25 20:12 |
perl-rxperl-anyevent-6.8.1-r0.apk | 2.7 KiB | 2024-Oct-25 20:12 |
perl-future-http-0.17-r0.apk | 9.2 KiB | 2024-Oct-25 20:12 |
parcellite-doc-1.2.5-r0.apk | 25.2 KiB | 2024-Oct-25 20:12 |
perl-opentracing-doc-1.006-r0.apk | 32.7 KiB | 2024-Oct-25 20:12 |
perl-test-trap-doc-0.3.5-r1.apk | 19.9 KiB | 2024-Oct-25 20:12 |
parcellite-1.2.5-r0.apk | 225.4 KiB | 2024-Oct-25 20:12 |
peervpn-0.044-r5.apk | 43.0 KiB | 2024-Oct-25 20:12 |
perl-module-build-prereqs-fromcpanfile-doc-0.02..> | 3.8 KiB | 2024-Oct-25 20:12 |
perl-test-distribution-2.00-r1.apk | 7.8 KiB | 2024-Oct-25 20:12 |
perl-bareword-filehandles-doc-0.007-r0.apk | 3.2 KiB | 2024-Oct-25 20:12 |
perl-future-q-doc-0.120-r0.apk | 9.1 KiB | 2024-Oct-25 20:12 |
perl-math-random-0.72-r0.apk | 38.3 KiB | 2024-Oct-25 20:12 |
perl-test-timer-doc-2.12-r2.apk | 8.4 KiB | 2024-Oct-25 20:12 |
perl-test-api-0.010-r2.apk | 5.1 KiB | 2024-Oct-25 20:12 |
perl-string-random-0.32-r2.apk | 7.9 KiB | 2024-Oct-25 20:12 |
perl-net-irr-0.10-r0.apk | 5.5 KiB | 2024-Oct-25 20:12 |
perl-ffi-c-doc-0.15-r0.apk | 28.6 KiB | 2024-Oct-25 20:12 |
perl-statistics-basic-doc-1.6611-r0.apk | 49.8 KiB | 2024-Oct-25 20:12 |
perl-json-path-doc-1.0.6-r0.apk | 12.6 KiB | 2024-Oct-25 20:12 |
perl-digest-crc-0.24-r1.apk | 10.5 KiB | 2024-Oct-25 20:12 |
perl-bsd-resource-1.2911-r10.apk | 20.1 KiB | 2024-Oct-25 20:12 |
perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-libapreq2-dev-2.17-r2.apk | 56.5 KiB | 2024-Oct-25 20:12 |
perl-bareword-filehandles-0.007-r0.apk | 6.1 KiB | 2024-Oct-25 20:12 |
perl-promise-xs-doc-0.20-r1.apk | 8.7 KiB | 2024-Oct-25 20:12 |
perl-html-selector-xpath-0.28-r0.apk | 6.2 KiB | 2024-Oct-25 20:12 |
perl-log-fu-doc-0.31-r4.apk | 7.3 KiB | 2024-Oct-25 20:12 |
perl-cairo-doc-1.109-r4.apk | 13.9 KiB | 2024-Oct-25 20:12 |
perl-class-c3-doc-0.35-r1.apk | 9.3 KiB | 2024-Oct-25 20:12 |
perl-log-message-simple-doc-0.10-r3.apk | 4.0 KiB | 2024-Oct-25 20:12 |
perl-http-thin-0.006-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
perl-indirect-doc-0.39-r1.apk | 6.4 KiB | 2024-Oct-25 20:12 |
perl-io-lambda-doc-1.34-r0.apk | 67.9 KiB | 2024-Oct-25 20:12 |
perl-lwp-online-1.08-r0.apk | 6.2 KiB | 2024-Oct-25 20:12 |
perl-gtk3-0.038-r1.apk | 19.6 KiB | 2024-Oct-25 20:12 |
paperde-dev-0.2.1-r2.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-dns-unbound-mojo-0.29-r1.apk | 2.7 KiB | 2024-Oct-25 20:12 |
perl-template-plugin-number-format-1.06-r4.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-opentracing-1.006-r0.apk | 18.0 KiB | 2024-Oct-25 20:12 |
perl-hash-ordered-doc-0.014-r0.apk | 19.2 KiB | 2024-Oct-25 20:12 |
perl-bytes-random-secure-0.29-r0.apk | 14.3 KiB | 2024-Oct-25 20:12 |
perl-test-settings-0.003-r0.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-io-lambda-1.34-r0.apk | 75.5 KiB | 2024-Oct-25 20:12 |
perl-dns-unbound-anyevent-0.29-r1.apk | 2.3 KiB | 2024-Oct-25 20:12 |
perl-xml-bare-0.53-r13.apk | 28.9 KiB | 2024-Oct-25 20:12 |
perl-test2-tools-explain-doc-0.02-r0.apk | 4.4 KiB | 2024-Oct-25 20:12 |
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.3 KiB | 2024-Oct-25 20:12 |
perl-adapter-async-0.019-r0.apk | 8.1 KiB | 2024-Oct-25 20:12 |
perl-class-c3-componentised-doc-1.001002-r2.apk | 5.3 KiB | 2024-Oct-25 20:12 |
perl-i18n-langinfo-wide-9-r4.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-bytes-random-secure-doc-0.29-r0.apk | 12.2 KiB | 2024-Oct-25 20:12 |
perl-devel-refcount-0.10-r1.apk | 6.3 KiB | 2024-Oct-25 20:12 |
perl-promise-es6-0.28-r0.apk | 10.7 KiB | 2024-Oct-25 20:12 |
parse-changelog-0.6.8-r0.apk | 520.5 KiB | 2024-Oct-25 20:12 |
perl-color-ansi-util-doc-0.165-r0.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-sentinel-0.07-r1.apk | 7.6 KiB | 2024-Oct-25 20:12 |
perl-uri-tcp-doc-2.0.0-r0.apk | 5.0 KiB | 2024-Oct-25 20:12 |
perl-pod-cpandoc-doc-0.16-r6.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-snmp-doc-5.0404-r13.apk | 14.0 KiB | 2024-Oct-25 20:12 |
perl-http-thin-doc-0.006-r0.apk | 3.5 KiB | 2024-Oct-25 20:12 |
perl-object-pad-fieldattr-checked-0.12-r0.apk | 8.9 KiB | 2024-Oct-25 20:12 |
perl-pango-1.227-r11.apk | 86.9 KiB | 2024-Oct-25 20:12 |
perl-rxperl-6.29.8-r0.apk | 26.3 KiB | 2024-Oct-25 20:12 |
perl-i18n-langinfo-wide-doc-9-r4.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-future-asyncawait-hooks-doc-0.02-r0.apk | 3.2 KiB | 2024-Oct-25 20:12 |
perl-http-xsheaders-doc-0.400005-r1.apk | 6.3 KiB | 2024-Oct-25 20:12 |
perl-variable-disposition-doc-0.005-r0.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-string-camelcase-0.04-r2.apk | 3.2 KiB | 2024-Oct-25 20:12 |
perl-log-message-0.08-r3.apk | 10.6 KiB | 2024-Oct-25 20:12 |
perl-cgi-expand-2.05-r4.apk | 6.9 KiB | 2024-Oct-25 20:12 |
perl-throwable-doc-1.001-r1.apk | 8.0 KiB | 2024-Oct-25 20:12 |
perl-sort-versions-1.62-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
perl-sort-naturally-1.03-r4.apk | 8.7 KiB | 2024-Oct-25 20:12 |
p910nd-doc-0.97-r2.apk | 3.0 KiB | 2024-Oct-25 20:12 |
p0f-3.09b-r3.apk | 75.3 KiB | 2024-Oct-25 20:12 |
perl-promise-xs-0.20-r1.apk | 25.8 KiB | 2024-Oct-25 20:12 |
perl-sys-syscall-doc-0.25-r10.apk | 3.7 KiB | 2024-Oct-25 20:12 |
par-1.53.0-r1.apk | 13.9 KiB | 2024-Oct-25 20:12 |
perl-lv-doc-0.006-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-net-curl-promiser-ioasync-0.20-r0.apk | 3.0 KiB | 2024-Oct-25 20:12 |
perl-test-files-0.26-r0.apk | 6.7 KiB | 2024-Oct-25 20:12 |
perl-digest-bcrypt-doc-1.212-r1.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-entry-plugin-completion-doc-..> | 3.8 KiB | 2024-Oct-25 20:12 |
perl-math-random-doc-0.72-r0.apk | 10.8 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-scrollbox-0.12-r0.apk | 8.0 KiB | 2024-Oct-25 20:12 |
perl-string-compare-constanttime-0.321-r6.apk | 7.5 KiB | 2024-Oct-25 20:12 |
perl-net-irr-doc-0.10-r0.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-uri-nested-doc-0.10-r0.apk | 3.9 KiB | 2024-Oct-25 20:12 |
perl-net-async-redis-xs-1.001-r1.apk | 9.8 KiB | 2024-Oct-25 20:12 |
perl-test-randomresult-0.001-r0.apk | 3.5 KiB | 2024-Oct-25 20:12 |
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11.8 KiB | 2024-Oct-25 20:12 |
perl-number-misc-doc-1.2-r5.apk | 4.4 KiB | 2024-Oct-25 20:12 |
perl-linux-pid-0.04-r13.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-linux-pid-doc-0.04-r13.apk | 3.0 KiB | 2024-Oct-25 20:12 |
perl-string-random-doc-0.32-r2.apk | 6.2 KiB | 2024-Oct-25 20:12 |
perl-uri-redis-0.02-r0.apk | 3.2 KiB | 2024-Oct-25 20:12 |
perl-class-inner-0.200001-r5.apk | 4.0 KiB | 2024-Oct-25 20:12 |
pacparser-doc-1.4.5-r1.apk | 17.8 KiB | 2024-Oct-25 20:12 |
perl-syntax-operator-in-0.10-r0.apk | 10.0 KiB | 2024-Oct-25 20:12 |
perl-ppi-xs-0.910-r1.apk | 5.9 KiB | 2024-Oct-25 20:12 |
perl-musicbrainz-discid-doc-0.06-r1.apk | 4.3 KiB | 2024-Oct-25 20:12 |
perl-promise-es6-future-0.28-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
perl-syntax-operator-equ-0.10-r0.apk | 8.3 KiB | 2024-Oct-25 20:12 |
perl-ev-hiredis-doc-0.07-r1.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-html-object-doc-0.5.1-r0.apk | 471.9 KiB | 2024-Oct-25 20:12 |
perl-xml-rpc-doc-2.1-r0.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-test-roo-doc-1.004-r3.apk | 15.5 KiB | 2024-Oct-25 20:12 |
perl-sys-syscall-0.25-r10.apk | 5.3 KiB | 2024-Oct-25 20:12 |
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3.1 KiB | 2024-Oct-25 20:12 |
perl-minion-10.31-r0.apk | 1.5 MiB | 2024-Oct-25 20:12 |
perl-class-c3-componentised-1.001002-r2.apk | 5.5 KiB | 2024-Oct-25 20:12 |
perl-email-reply-1.204-r5.apk | 6.1 KiB | 2024-Oct-25 20:12 |
perl-url-encode-doc-0.03-r4.apk | 4.7 KiB | 2024-Oct-25 20:12 |
perl-text-table-any-0.117-r0.apk | 8.1 KiB | 2024-Oct-25 20:12 |
perl-mojo-redis-3.29-r0.apk | 25.2 KiB | 2024-Oct-25 20:12 |
perl-dbicx-sugar-0.0200-r5.apk | 5.9 KiB | 2024-Oct-25 20:12 |
phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.8 KiB | 2024-Oct-25 20:12 |
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk | 4.5 KiB | 2024-Oct-25 20:12 |
perl-net-patricia-doc-1.22-r12.apk | 6.0 KiB | 2024-Oct-25 20:12 |
perl-protocol-redis-doc-1.0021-r0.apk | 5.0 KiB | 2024-Oct-25 20:12 |
p0f-doc-3.09b-r3.apk | 25.3 KiB | 2024-Oct-25 20:12 |
perl-text-brew-0.02-r5.apk | 4.5 KiB | 2024-Oct-25 20:12 |
perl-gearman-2.004.015-r3.apk | 27.5 KiB | 2024-Oct-25 20:12 |
perl-xml-feed-doc-0.65-r0.apk | 12.4 KiB | 2024-Oct-25 20:12 |
perl-database-async-engine-postgresql-doc-1.005..> | 9.3 KiB | 2024-Oct-25 20:12 |
perl-full-1.004-r0.apk | 7.1 KiB | 2024-Oct-25 20:12 |
pastel-bash-completion-0.10.0-r0.apk | 3.0 KiB | 2024-Oct-25 20:12 |
perl-guard-doc-1.023-r9.apk | 5.3 KiB | 2024-Oct-25 20:12 |
perl-protocol-redis-1.0021-r0.apk | 5.6 KiB | 2024-Oct-25 20:12 |
perl-glib-ex-objectbits-doc-17-r0.apk | 22.4 KiB | 2024-Oct-25 20:12 |
perl-algorithm-cron-doc-0.10-r4.apk | 4.6 KiB | 2024-Oct-25 20:12 |
pegasus-frontend-16_alpha-r0.apk | 1.2 MiB | 2024-Oct-25 20:12 |
perl-data-validate-domain-doc-0.15-r0.apk | 5.5 KiB | 2024-Oct-25 20:12 |
perl-libapreq2-2.17-r2.apk | 106.6 KiB | 2024-Oct-25 20:12 |
perl-net-patricia-1.22-r12.apk | 22.1 KiB | 2024-Oct-25 20:12 |
perl-mojo-sqlite-3.009-r0.apk | 16.0 KiB | 2024-Oct-25 20:12 |
perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.2 KiB | 2024-Oct-25 20:12 |
perl-math-int64-doc-0.57-r1.apk | 10.4 KiB | 2024-Oct-25 20:12 |
perl-algorithm-cron-0.10-r4.apk | 6.1 KiB | 2024-Oct-25 20:12 |
perl-crypt-random-seed-doc-0.03-r0.apk | 8.8 KiB | 2024-Oct-25 20:12 |
perl-flowd-doc-0.9.1-r10.apk | 3.2 KiB | 2024-Oct-25 20:12 |
pastel-fish-completion-0.10.0-r0.apk | 3.2 KiB | 2024-Oct-25 20:12 |
perl-git-repository-1.325-r0.apk | 16.3 KiB | 2024-Oct-25 20:12 |
perl-lv-backend-magic-0.006-r0.apk | 2.1 KiB | 2024-Oct-25 20:12 |
perl-autobox-3.0.2-r0.apk | 19.2 KiB | 2024-Oct-25 20:12 |
perl-cairo-1.109-r4.apk | 82.1 KiB | 2024-Oct-25 20:12 |
perl-pod-tidy-doc-0.10-r1.apk | 10.6 KiB | 2024-Oct-25 20:12 |
perl-file-mmagic-xs-doc-0.09008-r4.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-test-unit-0.27-r0.apk | 36.8 KiB | 2024-Oct-25 20:12 |
perl-file-mmagic-xs-0.09008-r4.apk | 31.1 KiB | 2024-Oct-25 20:12 |
p910nd-openrc-0.97-r2.apk | 1.8 KiB | 2024-Oct-25 20:12 |
perl-proc-guard-doc-0.07-r4.apk | 3.5 KiB | 2024-Oct-25 20:12 |
perl-rxperl-ioasync-6.9.1-r0.apk | 2.8 KiB | 2024-Oct-25 20:12 |
perl-text-table-sprintf-0.008-r0.apk | 5.4 KiB | 2024-Oct-25 20:12 |
perl-guard-1.023-r9.apk | 8.9 KiB | 2024-Oct-25 20:12 |
perl-time-moment-role-strptime-0.001-r0.apk | 2.7 KiB | 2024-Oct-25 20:12 |
perl-template-plugin-csv-0.04-r3.apk | 2.7 KiB | 2024-Oct-25 20:12 |
perl-gearman-doc-2.004.015-r3.apk | 19.8 KiB | 2024-Oct-25 20:12 |
perl-storable-improved-doc-0.1.3-r0.apk | 6.9 KiB | 2024-Oct-25 20:12 |
perl-soap-lite-1.27-r5.apk | 110.2 KiB | 2024-Oct-25 20:12 |
perl-feed-find-0.13-r0.apk | 3.9 KiB | 2024-Oct-25 20:12 |
perl-lwp-online-doc-1.08-r0.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-xml-atom-doc-0.43-r0.apk | 15.9 KiB | 2024-Oct-25 20:12 |
perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.8 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-menu-doc-0.16-r0.apk | 6.9 KiB | 2024-Oct-25 20:12 |
pasystray-0.8.2-r0.apk | 46.1 KiB | 2024-Oct-25 20:12 |
perl-aliased-0.34-r4.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-dbix-datasource-doc-0.02-r5.apk | 7.5 KiB | 2024-Oct-25 20:12 |
pastel-zsh-completion-0.10.0-r0.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-plack-middleware-reverseproxy-0.16-r2.apk | 3.2 KiB | 2024-Oct-25 20:12 |
pacparser-dev-1.4.5-r1.apk | 3.6 KiB | 2024-Oct-25 20:12 |
perl-test-requires-git-doc-1.008-r0.apk | 4.4 KiB | 2024-Oct-25 20:12 |
perl-bsd-resource-doc-1.2911-r10.apk | 7.9 KiB | 2024-Oct-25 20:12 |
perl-algorithm-backoff-0.010-r0.apk | 9.6 KiB | 2024-Oct-25 20:12 |
perl-email-abstract-3.010-r0.apk | 7.7 KiB | 2024-Oct-25 20:12 |
perl-string-crc32-doc-2.100-r4.apk | 3.4 KiB | 2024-Oct-25 20:12 |
perl-test-lwp-useragent-doc-0.036-r0.apk | 8.3 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-choice-doc-0.07-r0.apk | 3.4 KiB | 2024-Oct-25 20:12 |
perl-datetime-timezone-alias-0.06-r0.apk | 2.5 KiB | 2024-Oct-25 20:12 |
perl-net-jabber-doc-2.0-r0.apk | 48.4 KiB | 2024-Oct-25 20:12 |
perl-text-brew-doc-0.02-r5.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-pod-cpandoc-0.16-r6.apk | 4.6 KiB | 2024-Oct-25 20:12 |
perl-constant-generate-doc-0.17-r5.apk | 7.0 KiB | 2024-Oct-25 20:12 |
perl-test-lwp-useragent-0.036-r0.apk | 9.8 KiB | 2024-Oct-25 20:12 |
perl-test-settings-doc-0.003-r0.apk | 6.0 KiB | 2024-Oct-25 20:12 |
perl-starman-0.4017-r0.apk | 13.4 KiB | 2024-Oct-25 20:12 |
perl-class-c3-0.35-r1.apk | 9.5 KiB | 2024-Oct-25 20:12 |
perl-number-tolerant-doc-1.710-r0.apk | 25.6 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-floatbox-0.11-r0.apk | 4.7 KiB | 2024-Oct-25 20:12 |
perl-crypt-saltedhash-doc-0.09-r5.apk | 6.4 KiB | 2024-Oct-25 20:12 |
perl-algorithm-c3-doc-0.11-r1.apk | 5.1 KiB | 2024-Oct-25 20:12 |
peg-doc-0.1.18-r1.apk | 13.7 KiB | 2024-Oct-25 20:12 |
perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.6 KiB | 2024-Oct-25 20:12 |
perl-future-q-0.120-r0.apk | 9.6 KiB | 2024-Oct-25 20:12 |
pdm-pyc-2.18.2-r0.apk | 482.3 KiB | 2024-Oct-25 20:12 |
perl-hash-ordered-0.014-r0.apk | 9.8 KiB | 2024-Oct-25 20:12 |
perl-mastodon-client-doc-0.017-r0.apk | 33.0 KiB | 2024-Oct-25 20:12 |
perl-context-preserve-0.03-r4.apk | 3.9 KiB | 2024-Oct-25 20:12 |
perl-algorithm-backoff-doc-0.010-r0.apk | 29.5 KiB | 2024-Oct-25 20:12 |
perl-xml-atom-0.43-r0.apk | 19.6 KiB | 2024-Oct-25 20:12 |
perl-types-path-tiny-doc-0.006-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-lv-0.006-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-ffi-c-0.15-r0.apk | 19.8 KiB | 2024-Oct-25 20:12 |
pam_sqlite3-1.0.2-r2.apk | 8.8 KiB | 2024-Oct-25 20:12 |
perl-statistics-basic-1.6611-r0.apk | 9.2 KiB | 2024-Oct-25 20:12 |
perl-gtk3-doc-0.038-r1.apk | 9.2 KiB | 2024-Oct-25 20:12 |
perl-time-moment-0.44-r0.apk | 47.3 KiB | 2024-Oct-25 20:12 |
perl-url-encode-0.03-r4.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-menu-0.16-r0.apk | 7.2 KiB | 2024-Oct-25 20:12 |
perl-test-describeme-doc-0.004-r0.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-test-api-doc-0.010-r2.apk | 4.3 KiB | 2024-Oct-25 20:12 |
perl-html-tableextract-2.15-r4.apk | 17.7 KiB | 2024-Oct-25 20:12 |
perl-devel-confess-0.009004-r0.apk | 11.4 KiB | 2024-Oct-25 20:12 |
perl-pod-tidy-0.10-r1.apk | 10.4 KiB | 2024-Oct-25 20:12 |
perl-dbix-introspector-doc-0.001005-r4.apk | 8.5 KiB | 2024-Oct-25 20:12 |
perl-time-timegm-0.01-r9.apk | 6.8 KiB | 2024-Oct-25 20:12 |
oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-Oct-25 20:12 |
perl-statistics-descriptive-doc-3.0801-r0.apk | 37.5 KiB | 2024-Oct-25 20:12 |
perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.6 KiB | 2024-Oct-25 20:12 |
perl-perlio-locale-0.10-r12.apk | 4.6 KiB | 2024-Oct-25 20:12 |
phoronix-test-suite-doc-10.8.4-r2.apk | 287.5 KiB | 2024-Oct-25 20:12 |
perl-net-jabber-bot-2.1.7-r0.apk | 13.5 KiB | 2024-Oct-25 20:12 |
perl-test-timer-2.12-r2.apk | 8.9 KiB | 2024-Oct-25 20:12 |
perl-protocol-redis-faster-0.003-r0.apk | 3.4 KiB | 2024-Oct-25 20:12 |
perl-minion-backend-redis-doc-0.003-r0.apk | 6.6 KiB | 2024-Oct-25 20:12 |
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk | 1.9 KiB | 2024-Oct-25 20:12 |
perl-regexp-grammars-1.058-r0.apk | 65.6 KiB | 2024-Oct-25 20:12 |
perl-dbicx-sugar-doc-0.0200-r5.apk | 5.3 KiB | 2024-Oct-25 20:12 |
pegasus-frontend-doc-16_alpha-r0.apk | 16.2 KiB | 2024-Oct-25 20:12 |
perl-context-preserve-doc-0.03-r4.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-xml-feed-0.65-r0.apk | 13.9 KiB | 2024-Oct-25 20:12 |
perl-test-toolbox-doc-0.4-r5.apk | 6.2 KiB | 2024-Oct-25 20:12 |
perl-openapi-client-1.07-r0.apk | 8.6 KiB | 2024-Oct-25 20:12 |
perl-log-message-simple-0.10-r3.apk | 4.2 KiB | 2024-Oct-25 20:12 |
percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-Oct-25 20:12 |
perl-extutils-xsbuilder-doc-0.28-r5.apk | 21.1 KiB | 2024-Oct-25 20:12 |
perl-check-unitcheck-0.13-r1.apk | 6.2 KiB | 2024-Oct-25 20:12 |
perl-constant-defer-6-r5.apk | 7.4 KiB | 2024-Oct-25 20:12 |
perl-git-version-compare-doc-1.005-r0.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-term-ui-doc-0.50-r1.apk | 8.5 KiB | 2024-Oct-25 20:12 |
perl-promise-me-doc-0.5.0-r0.apk | 12.0 KiB | 2024-Oct-25 20:12 |
perl-crypt-random-seed-0.03-r0.apk | 11.2 KiB | 2024-Oct-25 20:12 |
perl-ref-util-xs-doc-0.117-r8.apk | 3.4 KiB | 2024-Oct-25 20:12 |
perl-adapter-async-doc-0.019-r0.apk | 16.8 KiB | 2024-Oct-25 20:12 |
perl-dns-unbound-0.29-r1.apk | 24.7 KiB | 2024-Oct-25 20:12 |
perl-rxperl-mojo-doc-6.8.2-r0.apk | 9.1 KiB | 2024-Oct-25 20:12 |
pass2csv-1.1.1-r1.apk | 8.4 KiB | 2024-Oct-25 20:12 |
perl-database-async-engine-postgresql-1.005-r0.apk | 13.9 KiB | 2024-Oct-25 20:12 |
paperkey-doc-1.6-r2.apk | 4.5 KiB | 2024-Oct-25 20:12 |
perl-sentinel-doc-0.07-r1.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-scalar-readonly-doc-0.03-r1.apk | 3.4 KiB | 2024-Oct-25 20:12 |
perl-math-libm-1.00-r14.apk | 10.8 KiB | 2024-Oct-25 20:12 |
perl-xml-parser-style-easytree-0.09-r0.apk | 5.0 KiB | 2024-Oct-25 20:12 |
perl-path-iter-doc-0.2-r3.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-net-curl-promiser-0.20-r0.apk | 8.9 KiB | 2024-Oct-25 20:12 |
perl-cairo-gobject-1.005-r4.apk | 6.8 KiB | 2024-Oct-25 20:12 |
perl-lib-abs-0.95-r0.apk | 3.9 KiB | 2024-Oct-25 20:12 |
perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.3 KiB | 2024-Oct-25 20:12 |
perl-future-http-doc-0.17-r0.apk | 15.7 KiB | 2024-Oct-25 20:12 |
perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-anyevent-future-0.05-r0.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-ryu-doc-4.001-r0.apk | 35.4 KiB | 2024-Oct-25 20:12 |
perl-perlio-locale-doc-0.10-r12.apk | 3.0 KiB | 2024-Oct-25 20:12 |
perl-test-class-tiny-0.03-r0.apk | 5.9 KiB | 2024-Oct-25 20:12 |
perl-time-moment-doc-0.44-r0.apk | 30.9 KiB | 2024-Oct-25 20:12 |
perl-getopt-tabular-doc-0.3-r4.apk | 16.7 KiB | 2024-Oct-25 20:12 |
perl-test-requires-git-1.008-r0.apk | 4.8 KiB | 2024-Oct-25 20:12 |
perl-autobox-doc-3.0.2-r0.apk | 8.8 KiB | 2024-Oct-25 20:12 |
perl-b-hooks-op-check-0.22-r0.apk | 6.8 KiB | 2024-Oct-25 20:12 |
perl-role-eventemitter-doc-0.003-r0.apk | 4.0 KiB | 2024-Oct-25 20:12 |
perl-list-binarysearch-xs-doc-0.09-r1.apk | 8.1 KiB | 2024-Oct-25 20:12 |
perl-email-abstract-doc-3.010-r0.apk | 12.9 KiB | 2024-Oct-25 20:12 |
perl-role-eventemitter-0.003-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
pcem-17-r2.apk | 1.7 MiB | 2024-Oct-25 20:12 |
perl-test-useallmodules-0.17-r1.apk | 3.8 KiB | 2024-Oct-25 20:12 |
perl-multidimensional-0.014-r0.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-cache-lru-0.04-r0.apk | 3.0 KiB | 2024-Oct-25 20:12 |
pest-language-server-0.3.9-r0.apk | 1.1 MiB | 2024-Oct-25 20:12 |
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk | 33.8 KiB | 2024-Oct-25 20:12 |
perl-digest-bcrypt-1.212-r1.apk | 5.6 KiB | 2024-Oct-25 20:12 |
perl-x-tiny-0.22-r0.apk | 6.9 KiB | 2024-Oct-25 20:12 |
perl-types-path-tiny-0.006-r0.apk | 4.0 KiB | 2024-Oct-25 20:12 |
perl-test-modern-0.013-r3.apk | 14.6 KiB | 2024-Oct-25 20:12 |
perl-number-misc-1.2-r5.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-term-size-0.211-r4.apk | 6.0 KiB | 2024-Oct-25 20:12 |
perl-lib-abs-doc-0.95-r0.apk | 4.0 KiB | 2024-Oct-25 20:12 |
perl-digest-crc-doc-0.24-r1.apk | 3.2 KiB | 2024-Oct-25 20:12 |
perl-cpan-changes-doc-0.500004-r0.apk | 18.2 KiB | 2024-Oct-25 20:12 |
perl-math-random-isaac-xs-doc-1.004-r8.apk | 3.8 KiB | 2024-Oct-25 20:12 |
perl-cairo-gobject-doc-1.005-r4.apk | 2.9 KiB | 2024-Oct-25 20:12 |
perl-json-maybeutf8-2.000-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
perl-html-object-0.5.1-r0.apk | 348.3 KiB | 2024-Oct-25 20:12 |
perl-minion-backend-redis-0.003-r0.apk | 10.5 KiB | 2024-Oct-25 20:12 |
perl-mojolicious-plugin-openapi-5.09-r0.apk | 28.6 KiB | 2024-Oct-25 20:12 |
perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5.4 KiB | 2024-Oct-25 20:12 |
perl-file-rename-2.02-r0.apk | 7.5 KiB | 2024-Oct-25 20:12 |
perl-test-expander-doc-2.5.1-r0.apk | 20.1 KiB | 2024-Oct-25 20:12 |
perl-test-class-tiny-doc-0.03-r0.apk | 5.4 KiB | 2024-Oct-25 20:12 |
perl-conf-libconfig-1.0.3-r0.apk | 25.7 KiB | 2024-Oct-25 20:12 |
perl-net-jabber-bot-doc-2.1.7-r0.apk | 7.6 KiB | 2024-Oct-25 20:12 |
perl-check-unitcheck-doc-0.13-r1.apk | 3.6 KiB | 2024-Oct-25 20:12 |
perl-test-memorygrowth-doc-0.05-r0.apk | 5.3 KiB | 2024-Oct-25 20:12 |
perl-syntax-operator-in-doc-0.10-r0.apk | 5.8 KiB | 2024-Oct-25 20:12 |
perl-algorithm-c3-0.11-r1.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-email-reply-doc-1.204-r5.apk | 4.8 KiB | 2024-Oct-25 20:12 |
perl-xml-bare-doc-0.53-r13.apk | 11.4 KiB | 2024-Oct-25 20:12 |
perl-test-toolbox-0.4-r5.apk | 9.9 KiB | 2024-Oct-25 20:12 |
perl-object-array-doc-0.060-r0.apk | 7.0 KiB | 2024-Oct-25 20:12 |
perl-test-files-doc-0.26-r0.apk | 14.6 KiB | 2024-Oct-25 20:12 |
perl-string-compare-constanttime-doc-0.321-r6.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-daemon-control-0.001010-r2.apk | 12.4 KiB | 2024-Oct-25 20:12 |
perl-test-distribution-doc-2.00-r1.apk | 6.1 KiB | 2024-Oct-25 20:12 |
perl-feed-find-doc-0.13-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
perl-signature-attribute-checked-doc-0.06-r0.apk | 4.6 KiB | 2024-Oct-25 20:12 |
perl-email-mime-attachment-stripper-doc-1.317-r..> | 3.8 KiB | 2024-Oct-25 20:12 |
perl-number-format-doc-1.76-r1.apk | 9.0 KiB | 2024-Oct-25 20:12 |
perl-test-randomresult-doc-0.001-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
perl-devel-confess-doc-0.009004-r0.apk | 6.7 KiB | 2024-Oct-25 20:12 |
perl-constant-defer-doc-6-r5.apk | 7.0 KiB | 2024-Oct-25 20:12 |
pantalaimon-ui-0.10.5-r4.apk | 1.7 KiB | 2024-Oct-25 20:12 |
perl-class-inner-doc-0.200001-r5.apk | 4.1 KiB | 2024-Oct-25 20:12 |
perl-system-command-doc-1.122-r0.apk | 10.2 KiB | 2024-Oct-25 20:12 |
paperkey-1.6-r2.apk | 16.3 KiB | 2024-Oct-25 20:12 |
perl-syntax-keyword-match-doc-0.15-r0.apk | 7.8 KiB | 2024-Oct-25 20:12 |
pdfcrack-0.20-r0.apk | 24.8 KiB | 2024-Oct-25 20:12 |
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk | 9.7 KiB | 2024-Oct-25 20:12 |
perl-tickit-widget-choice-0.07-r0.apk | 3.9 KiB | 2024-Oct-25 20:12 |
perl-text-table-sprintf-doc-0.008-r0.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-database-async-0.019-r0.apk | 22.8 KiB | 2024-Oct-25 20:12 |
perl-aliased-doc-0.34-r4.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-json-validator-5.14-r0.apk | 59.3 KiB | 2024-Oct-25 20:12 |
perl-bind-config-parser-0.01-r5.apk | 3.9 KiB | 2024-Oct-25 20:12 |
perl-anyevent-dns-etchosts-0.0105-r0.apk | 5.1 KiB | 2024-Oct-25 20:12 |
p910nd-0.97-r2.apk | 8.7 KiB | 2024-Oct-25 20:12 |
perl-cache-lru-doc-0.04-r0.apk | 3.2 KiB | 2024-Oct-25 20:12 |
perl-netaddr-mac-0.98-r1.apk | 10.8 KiB | 2024-Oct-25 20:12 |
perl-html-tableextract-doc-2.15-r4.apk | 9.9 KiB | 2024-Oct-25 20:12 |
perl-full-doc-1.004-r0.apk | 10.3 KiB | 2024-Oct-25 20:12 |
perl-test-trap-0.3.5-r1.apk | 19.8 KiB | 2024-Oct-25 20:12 |
perl-term-size-doc-0.211-r4.apk | 3.7 KiB | 2024-Oct-25 20:12 |
perl-text-table-any-doc-0.117-r0.apk | 6.7 KiB | 2024-Oct-25 20:12 |
perl-dbix-introspector-0.001005-r4.apk | 8.1 KiB | 2024-Oct-25 20:12 |
perl-getopt-tabular-0.3-r4.apk | 23.2 KiB | 2024-Oct-25 20:12 |
peg-0.1.18-r1.apk | 40.0 KiB | 2024-Oct-25 20:12 |
perl-extutils-makemaker-7.70-r2.apk | 174.7 KiB | 2024-Oct-25 20:12 |
perl-storable-improved-0.1.3-r0.apk | 6.7 KiB | 2024-Oct-25 20:12 |
perl-object-array-0.060-r0.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-pango-doc-1.227-r11.apk | 80.9 KiB | 2024-Oct-25 20:12 |
perl-xml-stream-1.24-r0.apk | 44.0 KiB | 2024-Oct-25 20:12 |
perl-minion-backend-sqlite-5.0.7-r0.apk | 10.1 KiB | 2024-Oct-25 20:12 |
pash-2.3.0-r2.apk | 4.3 KiB | 2024-Oct-25 20:12 |
perl-promise-es6-io-async-0.28-r0.apk | 3.0 KiB | 2024-Oct-25 20:12 |
perl-extutils-xsbuilder-0.28-r5.apk | 43.1 KiB | 2024-Oct-25 20:12 |
perl-number-format-1.76-r1.apk | 15.3 KiB | 2024-Oct-25 20:12 |
perl-test-expander-2.5.1-r0.apk | 7.1 KiB | 2024-Oct-25 20:12 |
perl-ref-util-xs-0.117-r8.apk | 10.8 KiB | 2024-Oct-25 20:12 |
perl-ryu-4.001-r0.apk | 26.1 KiB | 2024-Oct-25 20:12 |
perl-minion-backend-pg-10.31-r0.apk | 9.6 KiB | 2024-Oct-25 20:12 |
perl-promise-es6-doc-0.28-r0.apk | 12.1 KiB | 2024-Oct-25 20:12 |
perl-anyevent-riperedis-0.48-r0.apk | 12.4 KiB | 2024-Oct-25 20:12 |
perl-gtk2-doc-1.24993-r6.apk | 670.4 KiB | 2024-Oct-25 20:12 |
perl-datetime-timezone-catalog-extend-doc-0.3.3..> | 15.0 KiB | 2024-Oct-25 20:12 |
pass2csv-pyc-1.1.1-r1.apk | 7.5 KiB | 2024-Oct-25 20:12 |
perl-net-amqp-rabbitmq-2.40012-r0.apk | 84.2 KiB | 2024-Oct-25 20:12 |
perl-ffi-platypus-type-enum-0.06-r0.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-dancer-plugin-dbic-0.2104-r5.apk | 4.9 KiB | 2024-Oct-25 20:12 |
perl-color-rgb-util-doc-0.607-r0.apk | 7.4 KiB | 2024-Oct-25 20:12 |
perl-variable-disposition-0.005-r0.apk | 3.3 KiB | 2024-Oct-25 20:12 |
perl-dancer-session-cookie-0.30-r2.apk | 5.5 KiB | 2024-Oct-25 20:12 |
perl-lwp-useragent-cached-0.08-r1.apk | 6.4 KiB | 2024-Oct-25 20:12 |
perl-freezethaw-doc-0.5001-r2.apk | 5.7 KiB | 2024-Oct-25 20:12 |
perl-net-xmpp-doc-1.05-r0.apk | 43.6 KiB | 2024-Oct-25 20:12 |
perl-daemon-control-doc-0.001010-r2.apk | 8.3 KiB | 2024-Oct-25 20:12 |
perl-test-memorygrowth-0.05-r0.apk | 6.4 KiB | 2024-Oct-25 20:12 |
perl-color-rgb-util-0.607-r0.apk | 9.4 KiB | 2024-Oct-25 20:12 |
perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9.0 KiB | 2024-Oct-25 20:12 |
pam-krb5-4.11-r1.apk | 23.0 KiB | 2024-Oct-25 20:12 |
perl-mojo-reactor-ioasync-1.002-r0.apk | 4.7 KiB | 2024-Oct-25 20:12 |
perl-future-asyncawait-hooks-0.02-r0.apk | 8.5 KiB | 2024-Oct-25 20:12 |
perl-scalar-readonly-0.03-r1.apk | 5.5 KiB | 2024-Oct-25 20:12 |
pantalaimon-doc-0.10.5-r4.apk | 6.4 KiB | 2024-Oct-25 20:12 |
perl-http-xsheaders-0.400005-r1.apk | 21.0 KiB | 2024-Oct-25 20:12 |
perl-css-object-doc-0.2.0-r0.apk | 32.6 KiB | 2024-Oct-25 20:12 |
perl-net-curl-promiser-doc-0.20-r0.apk | 11.6 KiB | 2024-Oct-25 20:12 |
perl-rxperl-doc-6.29.8-r0.apk | 22.6 KiB | 2024-Oct-25 20:12 |
perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.8 KiB | 2024-Oct-25 20:12 |
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.5 KiB | 2024-Oct-25 20:12 |
perl-devel-refcount-doc-0.10-r1.apk | 4.2 KiB | 2024-Oct-25 20:12 |
perl-barcode-zbar-0.10-r3.apk | 31.0 KiB | 2024-Oct-25 20:12 |
perl-template-plugin-number-format-doc-1.06-r4.apk | 4.4 KiB | 2024-Oct-25 20:12 |
perl-syntax-keyword-match-0.15-r0.apk | 14.3 KiB | 2024-Oct-25 20:12 |
perl-crypt-saltedhash-0.09-r5.apk | 7.0 KiB | 2024-Oct-25 20:12 |
perl-bind-config-parser-doc-0.01-r5.apk | 3.6 KiB | 2024-Oct-25 20:12 |
perl-net-netmask-2.0002-r2.apk | 13.8 KiB | 2024-Oct-25 20:12 |
perl-net-idn-encode-2.500-r1.apk | 83.9 KiB | 2024-Oct-25 20:12 |
perl-io-sessiondata-1.03-r3.apk | 5.8 KiB | 2024-Oct-25 20:12 |
perl-git-repository-doc-1.325-r0.apk | 31.5 KiB | 2024-Oct-25 20:12 |
perl-constant-generate-0.17-r5.apk | 8.8 KiB | 2024-Oct-25 20:12 |
perl-sql-abstract-classic-1.91-r1.apk | 29.5 KiB | 2024-Oct-25 20:12 |
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.4 KiB | 2024-Oct-25 20:12 |
perl-glib-ex-objectbits-17-r0.apk | 15.0 KiB | 2024-Oct-25 20:12 |
perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5.4 KiB | 2024-Oct-25 20:12 |
perl-conf-libconfig-doc-1.0.3-r0.apk | 5.4 KiB | 2024-Oct-25 20:12 |
perl-time-timegm-doc-0.01-r9.apk | 3.8 KiB | 2024-Oct-25 20:12 |
perl-musicbrainz-discid-0.06-r1.apk | 9.6 KiB | 2024-Oct-25 20:12 |
perl-path-iter-0.2-r3.apk | 5.2 KiB | 2024-Oct-25 20:12 |
perl-data-validate-domain-0.15-r0.apk | 5.8 KiB | 2024-Oct-25 20:12 |
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 4.0 KiB | 2024-Oct-25 20:12 |
ovn-doc-24.03.1-r0.apk | 511.9 KiB | 2024-Oct-25 20:12 |
ovpncc-0.1_rc1-r0.apk | 11.9 KiB | 2024-Oct-25 20:12 |
ovn-dev-24.03.1-r0.apk | 9.3 MiB | 2024-Oct-25 20:12 |
ovn-dbg-24.03.1-r0.apk | 22.7 MiB | 2024-Oct-25 20:12 |
ovn-openrc-24.03.1-r0.apk | 4.1 KiB | 2024-Oct-25 20:12 |
ovpncc-doc-0.1_rc1-r0.apk | 6.5 KiB | 2024-Oct-25 20:12 |
ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 45.6 KiB | 2024-Oct-25 20:12 |
otrs-6.0.48-r2.apk | 28.7 MiB | 2024-Oct-25 20:12 |
ovn-24.03.1-r0.apk | 8.1 MiB | 2024-Oct-25 20:12 |
otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.6 KiB | 2024-Oct-25 20:12 |
ouch-doc-0.5.1-r0.apk | 3.7 KiB | 2024-Oct-25 20:12 |
ouch-fish-completion-0.5.1-r0.apk | 2.4 KiB | 2024-Oct-25 20:12 |
openttd-opensfx-1.0.3-r0.apk | 11.0 MiB | 2024-Oct-25 20:12 |
otrs-bash-completion-6.0.48-r2.apk | 2.4 KiB | 2024-Oct-25 20:12 |
opmsg-1.84-r1.apk | 304.2 KiB | 2024-Oct-25 20:12 |
opkg-doc-0.7.0-r0.apk | 7.6 KiB | 2024-Oct-25 20:12 |
otrs-doc-6.0.48-r2.apk | 795.1 KiB | 2024-Oct-25 20:12 |
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.7 KiB | 2024-Oct-25 20:12 |
otrs-setup-6.0.48-r2.apk | 107.3 KiB | 2024-Oct-25 20:12 |
opkg-dev-0.7.0-r0.apk | 106.4 KiB | 2024-Oct-25 20:12 |
osmctools-0.9-r0.apk | 123.0 KiB | 2024-Oct-25 20:12 |
otpclient-doc-4.0.2-r0.apk | 3.6 KiB | 2024-Oct-25 20:12 |
opkg-0.7.0-r0.apk | 10.1 KiB | 2024-Oct-25 20:12 |
ouch-0.5.1-r0.apk | 1.1 MiB | 2024-Oct-25 20:12 |
opkg-utils-0.7.0-r0.apk | 25.2 KiB | 2024-Oct-25 20:12 |
otrs-apache2-6.0.48-r2.apk | 4.0 KiB | 2024-Oct-25 20:12 |
otrs-openrc-6.0.48-r2.apk | 1.9 KiB | 2024-Oct-25 20:12 |
otpclient-4.0.2-r0.apk | 134.6 KiB | 2024-Oct-25 20:12 |
opkg-libs-0.7.0-r0.apk | 80.4 KiB | 2024-Oct-25 20:12 |
otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-Oct-25 20:12 |
ouch-bash-completion-0.5.1-r0.apk | 2.3 KiB | 2024-Oct-25 20:12 |
otrs-nginx-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-25 20:12 |
otrs-fastcgi-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-25 20:12 |
ouch-zsh-completion-0.5.1-r0.apk | 2.9 KiB | 2024-Oct-25 20:12 |
opkg-utils-doc-0.7.0-r0.apk | 3.6 KiB | 2024-Oct-25 20:12 |
openttd-openmsx-0.4.2-r0.apk | 129.1 KiB | 2024-Oct-25 20:12 |
openocd-git-dev-0_git20240113-r1.apk | 3.4 KiB | 2024-Oct-25 20:12 |
openscap-daemon-0.1.10-r9.apk | 60.2 KiB | 2024-Oct-25 20:12 |
openslide-dev-3.4.1-r3.apk | 6.9 KiB | 2024-Oct-25 20:12 |
openocd-esp32-dev-0_git20230921-r5.apk | 3.4 KiB | 2024-Oct-25 20:12 |
openttd-lang-14.1-r0.apk | 3.5 MiB | 2024-Oct-25 20:12 |
openocd-git-doc-0_git20240113-r1.apk | 3.3 KiB | 2024-Oct-25 20:12 |
openttd-14.1-r0.apk | 6.4 MiB | 2024-Oct-25 20:12 |
openttd-doc-14.1-r0.apk | 264.1 KiB | 2024-Oct-25 20:12 |
openocd-git-0_git20240113-r1.apk | 1.6 MiB | 2024-Oct-25 20:12 |
openswitcher-proxy-0.5.0-r4.apk | 9.4 KiB | 2024-Oct-25 20:12 |
openslide-3.4.1-r3.apk | 89.4 KiB | 2024-Oct-25 20:12 |
openslide-doc-3.4.1-r3.apk | 4.9 KiB | 2024-Oct-25 20:12 |
openocd-git-udev-rules-0_git20240113-r1.apk | 3.4 KiB | 2024-Oct-25 20:12 |
openfpgaloader-0.11.0-r0.apk | 1.9 MiB | 2024-Oct-25 20:12 |
openocd-git-dbg-0_git20240113-r1.apk | 3.7 MiB | 2024-Oct-25 20:12 |
openocd-esp32-0_git20230921-r5.apk | 1.7 MiB | 2024-Oct-25 20:12 |
openswitcher-0.5.0-r4.apk | 148.4 KiB | 2024-Oct-25 20:12 |
openapi-tui-0.9.4-r1.apk | 4.0 MiB | 2024-Oct-25 20:12 |
openapi-validator-1.19.2-r0.apk | 9.9 MiB | 2024-Oct-25 20:12 |
openspades-0.1.3-r5.apk | 11.3 MiB | 2024-Oct-25 20:12 |
opensm-doc-3.3.24-r2.apk | 37.9 KiB | 2024-Oct-25 20:12 |
openscap-daemon-pyc-0.1.10-r9.apk | 101.9 KiB | 2024-Oct-25 20:12 |
openswitcher-proxy-openrc-0.5.0-r4.apk | 2.0 KiB | 2024-Oct-25 20:12 |
openspades-doc-0.1.3-r5.apk | 19.6 KiB | 2024-Oct-25 20:12 |
openocd-riscv-dev-0_git20230104-r2.apk | 3.7 KiB | 2024-Oct-25 20:12 |
openslide-tools-3.4.1-r3.apk | 9.5 KiB | 2024-Oct-25 20:12 |
openttd-opengfx-7.1-r0.apk | 3.3 MiB | 2024-Oct-25 20:12 |
openocd-esp32-udev-rules-0_git20230921-r5.apk | 3.4 KiB | 2024-Oct-25 20:12 |
opensm-openrc-3.3.24-r2.apk | 2.8 KiB | 2024-Oct-25 20:12 |
openocd-git-cmd-openocd-0_git20240113-r1.apk | 1.5 KiB | 2024-Oct-25 20:12 |
openocd-riscv-0_git20230104-r2.apk | 1.5 MiB | 2024-Oct-25 20:12 |
opensm-dev-3.3.24-r2.apk | 218.8 KiB | 2024-Oct-25 20:12 |
openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-25 20:12 |
openscap-daemon-doc-0.1.10-r9.apk | 17.5 KiB | 2024-Oct-25 20:12 |
openmg-0.0.9-r0.apk | 104.8 KiB | 2024-Oct-25 20:12 |
openocd-esp32-doc-0_git20230921-r5.apk | 3.3 KiB | 2024-Oct-25 20:12 |
openocd-riscv-doc-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-25 20:12 |
opensm-3.3.24-r2.apk | 464.9 KiB | 2024-Oct-25 20:12 |
olsrd-doc-0.9.8-r3.apk | 25.1 KiB | 2024-Oct-25 20:12 |
ocaml-yojson-2.1.2-r0.apk | 1.0 MiB | 2024-Oct-25 20:12 |
olab-0.1.8-r0.apk | 3.3 MiB | 2024-Oct-25 20:12 |
octoprint-pisupport-2023.10.10-r1.apk | 30.8 KiB | 2024-Oct-25 20:12 |
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 17.6 KiB | 2024-Oct-25 20:12 |
octoprint-creality2xfix-0.0.4-r2.apk | 4.7 KiB | 2024-Oct-25 20:12 |
ocaml-xtmpl-0.19.0-r0.apk | 8.3 MiB | 2024-Oct-25 20:12 |
octoprint-pisupport-pyc-2023.10.10-r1.apk | 12.8 KiB | 2024-Oct-25 20:12 |
ocamlnet-tcl-4.1.9-r2.apk | 49.9 KiB | 2024-Oct-25 20:12 |
ocp-index-vim-1.3.6-r0.apk | 3.1 KiB | 2024-Oct-25 20:12 |
olsrd-plugins-0.9.8-r3.apk | 175.6 KiB | 2024-Oct-25 20:12 |
ocp-indent-1.8.2-r2.apk | 1.1 MiB | 2024-Oct-25 20:12 |
ocfs2-tools-1.8.7-r4.apk | 1.2 MiB | 2024-Oct-25 20:12 |
ocp-index-1.3.6-r0.apk | 5.1 MiB | 2024-Oct-25 20:12 |
ocp-indent-doc-1.8.2-r2.apk | 17.0 KiB | 2024-Oct-25 20:12 |
octoprint-filecheck-pyc-2024.3.27-r1.apk | 11.3 KiB | 2024-Oct-25 20:12 |
ocamlnet-4.1.9-r2.apk | 16.3 MiB | 2024-Oct-25 20:12 |
ocp-indent-emacs-1.8.2-r2.apk | 3.7 KiB | 2024-Oct-25 20:12 |
oil-0.21.0-r0.apk | 1.4 MiB | 2024-Oct-25 20:12 |
octoprint-firmwarecheck-2021.10.11-r2.apk | 29.3 KiB | 2024-Oct-25 20:12 |
ocamlnet-dev-4.1.9-r2.apk | 5.5 MiB | 2024-Oct-25 20:12 |
ocaml-zed-dev-3.1.0-r3.apk | 1.1 MiB | 2024-Oct-25 20:12 |
onioncat-doc-4.11.0-r1.apk | 19.2 KiB | 2024-Oct-25 20:12 |
odyssey-1.3-r3.apk | 127.0 KiB | 2024-Oct-25 20:12 |
odyssey-openrc-1.3-r3.apk | 2.0 KiB | 2024-Oct-25 20:12 |
ol-doc-2.4-r0.apk | 2.6 KiB | 2024-Oct-25 20:12 |
ocaml-xtmpl-dev-0.19.0-r0.apk | 771.8 KiB | 2024-Oct-25 20:12 |
ol-2.4-r0.apk | 992.8 KiB | 2024-Oct-25 20:12 |
octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.3 KiB | 2024-Oct-25 20:12 |
ocp-indent-vim-1.8.2-r2.apk | 2.4 KiB | 2024-Oct-25 20:12 |
ocaml-zed-3.1.0-r3.apk | 512.1 KiB | 2024-Oct-25 20:12 |
onioncat-4.11.0-r1.apk | 64.5 KiB | 2024-Oct-25 20:12 |
octoprint-filecheck-2024.3.27-r1.apk | 27.9 KiB | 2024-Oct-25 20:12 |
oil-doc-0.21.0-r0.apk | 7.0 KiB | 2024-Oct-25 20:12 |
ocfs2-tools-doc-1.8.7-r4.apk | 69.4 KiB | 2024-Oct-25 20:12 |
ocp-index-doc-1.3.6-r0.apk | 30.7 KiB | 2024-Oct-25 20:12 |
olsrd-0.9.8-r3.apk | 165.2 KiB | 2024-Oct-25 20:12 |
ocaml-yojson-dev-2.1.2-r0.apk | 1.6 MiB | 2024-Oct-25 20:12 |
ocp-index-emacs-1.3.6-r0.apk | 6.3 KiB | 2024-Oct-25 20:12 |
olsrd-openrc-0.9.8-r3.apk | 1.9 KiB | 2024-Oct-25 20:12 |
ocfs2-tools-dev-1.8.7-r4.apk | 44.9 KiB | 2024-Oct-25 20:12 |
ocaml-tls-dev-0.15.3-r4.apk | 2.3 MiB | 2024-Oct-25 20:12 |
ocaml-sha-1.15.4-r0.apk | 76.2 KiB | 2024-Oct-25 20:12 |
ocaml-topkg-1.0.5-r2.apk | 604.5 KiB | 2024-Oct-25 20:12 |
ocaml-uucp-14.0.0-r2.apk | 4.9 MiB | 2024-Oct-25 20:12 |
ocaml-uutf-1.0.3-r2.apk | 605.4 KiB | 2024-Oct-25 20:12 |
ocaml-result-1.5-r2.apk | 9.2 KiB | 2024-Oct-25 20:12 |
ocaml-stk-dev-0.1.0-r0.apk | 9.8 MiB | 2024-Oct-25 20:12 |
ocaml-uuidm-0.9.8-r2.apk | 44.4 KiB | 2024-Oct-25 20:12 |
ocaml-rresult-dev-0.7.0-r2.apk | 35.2 KiB | 2024-Oct-25 20:12 |
ocaml-trie-1.0.0-r2.apk | 15.8 KiB | 2024-Oct-25 20:12 |
ocaml-tcpip-7.1.2-r3.apk | 1.2 MiB | 2024-Oct-25 20:12 |
ocaml-result-dev-1.5-r2.apk | 8.1 KiB | 2024-Oct-25 20:12 |
ocaml-rresult-0.7.0-r2.apk | 38.3 KiB | 2024-Oct-25 20:12 |
ocaml-x509-0.16.0-r2.apk | 818.3 KiB | 2024-Oct-25 20:12 |
ocaml-xml-light-dev-2.5-r0.apk | 382.9 KiB | 2024-Oct-25 20:12 |
ocaml-uucp-dev-14.0.0-r2.apk | 1.0 MiB | 2024-Oct-25 20:12 |
ocaml-uunf-14.0.0-r2.apk | 1.1 MiB | 2024-Oct-25 20:12 |
ocaml-xml-light-2.5-r0.apk | 213.6 KiB | 2024-Oct-25 20:12 |
ocaml-uuseg-tools-14.0.0-r2.apk | 1.2 MiB | 2024-Oct-25 20:12 |
ocaml-sexplib0-0.16.0-r0.apk | 169.4 KiB | 2024-Oct-25 20:12 |
ocaml-uri-4.2.0-r2.apk | 1.4 MiB | 2024-Oct-25 20:12 |
ocaml-uunf-dev-14.0.0-r2.apk | 189.0 KiB | 2024-Oct-25 20:12 |
ocaml-ssl-0.7.0-r0.apk | 95.7 KiB | 2024-Oct-25 20:12 |
ocaml-tsdl-image-0.6-r0.apk | 47.0 KiB | 2024-Oct-25 20:12 |
ocaml-xmlm-dev-1.4.0-r2.apk | 95.5 KiB | 2024-Oct-25 20:12 |
ocaml-sexplib-dev-0.16.0-r0.apk | 820.2 KiB | 2024-Oct-25 20:12 |
ocaml-tls-0.15.3-r4.apk | 1.2 MiB | 2024-Oct-25 20:12 |
ocaml-uutf-dev-1.0.3-r2.apk | 54.4 KiB | 2024-Oct-25 20:12 |
ocaml-stdlib-shims-0.3.0-r2.apk | 4.2 KiB | 2024-Oct-25 20:12 |
ocaml-tsdl-ttf-dev-0.6-r0.apk | 107.0 KiB | 2024-Oct-25 20:12 |
ocaml-seq-0.3.1-r2.apk | 13.9 KiB | 2024-Oct-25 20:12 |
ocaml-tsdl-ttf-0.6-r0.apk | 62.5 KiB | 2024-Oct-25 20:12 |
ocaml-utop-dev-2.9.1-r4.apk | 762.8 KiB | 2024-Oct-25 20:12 |
ocaml-x509-dev-0.16.0-r2.apk | 1.5 MiB | 2024-Oct-25 20:12 |
ocaml-uuidm-dev-0.9.8-r2.apk | 23.7 KiB | 2024-Oct-25 20:12 |
ocaml-sedlex-3.2-r0.apk | 3.9 MiB | 2024-Oct-25 20:12 |
ocaml-trie-dev-1.0.0-r2.apk | 25.4 KiB | 2024-Oct-25 20:12 |
ocaml-stringext-1.6.0-r2.apk | 42.2 KiB | 2024-Oct-25 20:12 |
ocaml-sha-dev-1.15.4-r0.apk | 243.2 KiB | 2024-Oct-25 20:12 |
ocaml-uuseg-dev-14.0.0-r2.apk | 48.1 KiB | 2024-Oct-25 20:12 |
ocaml-tsdl-dev-1.0.0-r0.apk | 549.3 KiB | 2024-Oct-25 20:12 |
ocaml-ssl-dev-0.7.0-r0.apk | 204.4 KiB | 2024-Oct-25 20:12 |
ocaml-tsdl-image-dev-0.6-r0.apk | 76.5 KiB | 2024-Oct-25 20:12 |
ocaml-uucd-dev-14.0.0-r2.apk | 160.9 KiB | 2024-Oct-25 20:12 |
ocaml-sedlex-dev-3.2-r0.apk | 1.5 MiB | 2024-Oct-25 20:12 |
ocaml-sexplib-0.16.0-r0.apk | 482.6 KiB | 2024-Oct-25 20:12 |
ocaml-utop-2.9.1-r4.apk | 349.2 KiB | 2024-Oct-25 20:12 |
ocaml-uucd-14.0.0-r2.apk | 270.5 KiB | 2024-Oct-25 20:12 |
ocaml-stk-0.1.0-r0.apk | 6.0 MiB | 2024-Oct-25 20:12 |
ocaml-xmlm-1.4.0-r2.apk | 544.2 KiB | 2024-Oct-25 20:12 |
ocaml-uri-dev-4.2.0-r2.apk | 4.3 MiB | 2024-Oct-25 20:12 |
ocaml-uuidm-tools-0.9.8-r2.apk | 429.8 KiB | 2024-Oct-25 20:12 |
ocaml-sexplib0-dev-0.16.0-r0.apk | 334.6 KiB | 2024-Oct-25 20:12 |
ocaml-reason-dev-3.8.2-r1.apk | 28.5 MiB | 2024-Oct-25 20:12 |
ocaml-uuseg-14.0.0-r2.apk | 91.7 KiB | 2024-Oct-25 20:12 |
ocaml-tophide-1.0.4-r2.apk | 5.7 KiB | 2024-Oct-25 20:12 |
ocaml-topkg-dev-1.0.5-r2.apk | 397.6 KiB | 2024-Oct-25 20:12 |
ocaml-stringext-dev-1.6.0-r2.apk | 77.2 KiB | 2024-Oct-25 20:12 |
ocaml-tcpip-dev-7.1.2-r3.apk | 2.4 MiB | 2024-Oct-25 20:12 |
ocaml-seq-dev-0.3.1-r2.apk | 20.0 KiB | 2024-Oct-25 20:12 |
ocaml-tsdl-1.0.0-r0.apk | 903.5 KiB | 2024-Oct-25 20:12 |
ocaml-ptmap-dev-2.0.5-r3.apk | 93.9 KiB | 2024-Oct-25 20:12 |
ocaml-qtest-dev-2.11.2-r3.apk | 3.6 KiB | 2024-Oct-25 20:12 |
ocaml-qcheck-0.18.1-r3.apk | 656.9 KiB | 2024-Oct-25 20:12 |
ocaml-reason-3.8.2-r1.apk | 15.1 MiB | 2024-Oct-25 20:12 |
ocaml-qtest-2.11.2-r3.apk | 320.6 KiB | 2024-Oct-25 20:12 |
ocaml-re-dev-1.11.0-r1.apk | 970.4 KiB | 2024-Oct-25 20:12 |
ocaml-ptmap-2.0.5-r3.apk | 53.4 KiB | 2024-Oct-25 20:12 |
ocaml-react-1.2.2-r2.apk | 279.7 KiB | 2024-Oct-25 20:12 |
ocaml-psq-dev-0.2.0-r2.apk | 171.2 KiB | 2024-Oct-25 20:12 |
ocaml-randomconv-0.1.3-r2.apk | 13.5 KiB | 2024-Oct-25 20:12 |
ocaml-ppxlib-dev-0.32.0-r0.apk | 15.9 MiB | 2024-Oct-25 20:12 |
ocaml-ptime-1.0.0-r2.apk | 112.5 KiB | 2024-Oct-25 20:12 |
ocaml-ptime-dev-1.0.0-r2.apk | 74.9 KiB | 2024-Oct-25 20:12 |
ocaml-randomconv-dev-0.1.3-r2.apk | 17.8 KiB | 2024-Oct-25 20:12 |
ocaml-qcheck-dev-0.18.1-r3.apk | 1.3 MiB | 2024-Oct-25 20:12 |
ocaml-psq-0.2.0-r2.apk | 94.7 KiB | 2024-Oct-25 20:12 |
ocaml-re-1.11.0-r1.apk | 521.7 KiB | 2024-Oct-25 20:12 |
ocaml-ppxlib-0.32.0-r0.apk | 12.4 MiB | 2024-Oct-25 20:12 |
ocaml-react-dev-1.2.2-r2.apk | 192.5 KiB | 2024-Oct-25 20:12 |
ocaml-parsexp-dev-0.16.0-r0.apk | 926.7 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-crypto-dev-0.10.6-r3.apk | 5.4 MiB | 2024-Oct-25 20:12 |
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk | 1.1 MiB | 2024-Oct-25 20:12 |
ocaml-ppx_blob-dev-0.8.0-r0.apk | 18.9 KiB | 2024-Oct-25 20:12 |
ocaml-num-dev-1.4-r3.apk | 91.9 KiB | 2024-Oct-25 20:12 |
ocaml-mqtt-dev-0.2.2-r0.apk | 256.2 KiB | 2024-Oct-25 20:12 |
ocaml-num-1.4-r3.apk | 255.5 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-flow-dev-3.0.0-r3.apk | 192.8 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-net-4.0.0-r3.apk | 12.4 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-kv-dev-4.0.1-r3.apk | 43.1 KiB | 2024-Oct-25 20:12 |
ocaml-ocf-dev-0.8.0-r3.apk | 318.0 KiB | 2024-Oct-25 20:12 |
ocaml-omake-0.10.6-r0.apk | 1.5 MiB | 2024-Oct-25 20:12 |
ocaml-otoml-dev-1.0.5-r0.apk | 775.0 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-random-3.0.0-r3.apk | 7.1 KiB | 2024-Oct-25 20:12 |
ocaml-mqtt-0.2.2-r0.apk | 154.7 KiB | 2024-Oct-25 20:12 |
ocaml-lwt_ssl-dev-1.2.0-r0.apk | 46.8 KiB | 2024-Oct-25 20:12 |
ocaml-pbkdf-1.2.0-r2.apk | 16.9 KiB | 2024-Oct-25 20:12 |
ocaml-omod-bin-0.0.3-r3.apk | 2.5 MiB | 2024-Oct-25 20:12 |
ocaml-ppx_sexp_conv-0.16.0-r0.apk | 560.3 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-time-3.0.0-r4.apk | 12.3 KiB | 2024-Oct-25 20:12 |
ocaml-mikmatch-1.0.9-r2.apk | 143.6 KiB | 2024-Oct-25 20:12 |
ocaml-magic-mime-dev-1.3.1-r0.apk | 311.0 KiB | 2024-Oct-25 20:12 |
ocaml-markup-dev-1.0.3-r3.apk | 2.1 MiB | 2024-Oct-25 20:12 |
ocaml-mtime-1.4.0-r2.apk | 49.1 KiB | 2024-Oct-25 20:12 |
ocaml-otr-dev-0.3.10-r2.apk | 518.7 KiB | 2024-Oct-25 20:12 |
ocaml-ocf-0.8.0-r3.apk | 7.4 MiB | 2024-Oct-25 20:12 |
ocaml-ocplib-endian-dev-1.2-r3.apk | 259.2 KiB | 2024-Oct-25 20:12 |
ocaml-mmap-dev-1.2.0-r3.apk | 6.5 KiB | 2024-Oct-25 20:12 |
ocaml-mmap-1.2.0-r3.apk | 7.2 KiB | 2024-Oct-25 20:12 |
ocaml-ppx_derivers-dev-1.2.1-r2.apk | 11.3 KiB | 2024-Oct-25 20:12 |
ocaml-ppx_blob-0.8.0-r0.apk | 3.5 MiB | 2024-Oct-25 20:12 |
ocaml-merlin-extend-0.6.1-r2.apk | 48.5 KiB | 2024-Oct-25 20:12 |
ocaml-ocp-index-1.3.6-r0.apk | 450.8 KiB | 2024-Oct-25 20:12 |
ocaml-lwt_ssl-1.2.0-r0.apk | 29.1 KiB | 2024-Oct-25 20:12 |
ocaml-metrics-dev-0.4.0-r3.apk | 447.7 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-flow-3.0.0-r3.apk | 108.4 KiB | 2024-Oct-25 20:12 |
ocaml-mew_vi-dev-0.5.0-r3.apk | 294.0 KiB | 2024-Oct-25 20:12 |
ocaml-pcre-7.5.0-r4.apk | 151.5 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-net-dev-4.0.0-r3.apk | 19.8 KiB | 2024-Oct-25 20:12 |
ocaml-notty-0.2.3-r0.apk | 308.2 KiB | 2024-Oct-25 20:12 |
ocaml-mtime-dev-1.4.0-r2.apk | 47.4 KiB | 2024-Oct-25 20:12 |
ocaml-omod-0.0.3-r3.apk | 327.4 KiB | 2024-Oct-25 20:12 |
ocaml-otr-0.3.10-r2.apk | 277.8 KiB | 2024-Oct-25 20:12 |
ocaml-parsexp-0.16.0-r0.apk | 380.2 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-profile-0.9.1-r3.apk | 24.5 KiB | 2024-Oct-25 20:12 |
ocaml-pbkdf-dev-1.2.0-r2.apk | 25.3 KiB | 2024-Oct-25 20:12 |
ocaml-ocp-indent-1.8.2-r2.apk | 530.5 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-clock-dev-4.2.0-r2.apk | 34.7 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-random-dev-3.0.0-r3.apk | 5.4 KiB | 2024-Oct-25 20:12 |
ocaml-markup-1.0.3-r3.apk | 996.1 KiB | 2024-Oct-25 20:12 |
ocaml-notty-dev-0.2.3-r0.apk | 609.5 KiB | 2024-Oct-25 20:12 |
ocaml-pcre-dev-7.5.0-r4.apk | 302.4 KiB | 2024-Oct-25 20:12 |
ocaml-metrics-0.4.0-r3.apk | 241.9 KiB | 2024-Oct-25 20:12 |
ocaml-mew-dev-0.1.0-r3.apk | 95.9 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-time-dev-3.0.0-r4.apk | 8.3 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-profile-dev-0.9.1-r3.apk | 31.6 KiB | 2024-Oct-25 20:12 |
ocaml-obuild-0.1.11-r0.apk | 1.0 MiB | 2024-Oct-25 20:12 |
ocaml-ppx_deriving-dev-5.3.0-r0.apk | 1.3 MiB | 2024-Oct-25 20:12 |
ocaml-ppx_deriving-5.3.0-r0.apk | 4.7 MiB | 2024-Oct-25 20:12 |
ocaml-mew-0.1.0-r3.apk | 68.6 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-crypto-0.10.6-r3.apk | 1.2 MiB | 2024-Oct-25 20:12 |
ocaml-merlin-extend-dev-0.6.1-r2.apk | 91.4 KiB | 2024-Oct-25 20:12 |
ocaml-lwt_ppx-5.7.0-r0.apk | 3.5 MiB | 2024-Oct-25 20:12 |
ocaml-magic-mime-1.3.1-r0.apk | 209.8 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-clock-4.2.0-r2.apk | 29.7 KiB | 2024-Oct-25 20:12 |
ocaml-lwt_react-5.7.0-r0.apk | 118.6 KiB | 2024-Oct-25 20:12 |
ocaml-ppx_derivers-1.2.1-r2.apk | 9.5 KiB | 2024-Oct-25 20:12 |
ocaml-mirage-kv-4.0.1-r3.apk | 20.1 KiB | 2024-Oct-25 20:12 |
ocaml-otoml-1.0.5-r0.apk | 437.4 KiB | 2024-Oct-25 20:12 |
ocaml-omod-dev-0.0.3-r3.apk | 210.8 KiB | 2024-Oct-25 20:12 |
ocaml-ounit-dev-2.2.7-r3.apk | 1.0 MiB | 2024-Oct-25 20:12 |
ocaml-ocplib-endian-1.2-r3.apk | 157.3 KiB | 2024-Oct-25 20:12 |
ocaml-ocp-indent-dev-1.8.2-r2.apk | 638.4 KiB | 2024-Oct-25 20:12 |
ocaml-ounit-2.2.7-r3.apk | 529.5 KiB | 2024-Oct-25 20:12 |
ocaml-ocp-index-dev-1.3.6-r0.apk | 529.3 KiB | 2024-Oct-25 20:12 |
ocaml-mew_vi-0.5.0-r3.apk | 182.2 KiB | 2024-Oct-25 20:12 |
ocaml-omake-doc-0.10.6-r0.apk | 8.0 KiB | 2024-Oct-25 20:12 |
ocaml-logs-dev-0.7.0-r3.apk | 85.6 KiB | 2024-Oct-25 20:12 |
ocaml-ipaddr-5.3.1-r2.apk | 310.8 KiB | 2024-Oct-25 20:12 |
ocaml-lwt-5.7.0-r0.apk | 1.2 MiB | 2024-Oct-25 20:12 |
ocaml-labltk-dev-8.06.12-r2.apk | 1.3 MiB | 2024-Oct-25 20:12 |
ocaml-integers-dev-0.7.0-r2.apk | 270.7 KiB | 2024-Oct-25 20:12 |
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk | 38.5 KiB | 2024-Oct-25 20:12 |
ocaml-jsonm-tools-1.0.2-r0.apk | 432.2 KiB | 2024-Oct-25 20:12 |
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk | 1.5 MiB | 2024-Oct-25 20:12 |
ocaml-libvirt-doc-0.6.1.7-r0.apk | 13.7 KiB | 2024-Oct-25 20:12 |
ocaml-labltk-8.06.12-r2.apk | 2.9 MiB | 2024-Oct-25 20:12 |
ocaml-lablgtk3-3.1.2-r3.apk | 7.8 MiB | 2024-Oct-25 20:12 |
ocaml-lablgtk3-dev-3.1.2-r3.apk | 13.7 MiB | 2024-Oct-25 20:12 |
ocaml-lambda-term-dev-3.2.0-r4.apk | 3.8 MiB | 2024-Oct-25 20:12 |
ocaml-libvirt-0.6.1.7-r0.apk | 176.8 KiB | 2024-Oct-25 20:12 |
ocaml-hex-dev-1.5.0-r2.apk | 53.3 KiB | 2024-Oct-25 20:12 |
ocaml-lwd-dev-0.3-r0.apk | 1016.0 KiB | 2024-Oct-25 20:12 |
ocaml-gmap-0.3.0-r2.apk | 35.9 KiB | 2024-Oct-25 20:12 |
ocaml-lambda-term-doc-3.2.0-r4.apk | 8.8 KiB | 2024-Oct-25 20:12 |
ocaml-lwt-dllist-dev-1.0.1-r3.apk | 40.0 KiB | 2024-Oct-25 20:12 |
ocaml-lambda-term-3.2.0-r4.apk | 3.3 MiB | 2024-Oct-25 20:12 |
ocaml-lambdasoup-0.7.3-r2.apk | 187.8 KiB | 2024-Oct-25 20:12 |
ocaml-iri-1.0.0-r0.apk | 1.8 MiB | 2024-Oct-25 20:12 |
ocaml-happy-eyeballs-dev-0.3.0-r2.apk | 147.1 KiB | 2024-Oct-25 20:12 |
ocaml-jsonm-1.0.2-r0.apk | 115.8 KiB | 2024-Oct-25 20:12 |
ocaml-hex-1.5.0-r2.apk | 29.2 KiB | 2024-Oct-25 20:12 |
ocaml-lwt_log-dev-1.1.1-r5.apk | 255.4 KiB | 2024-Oct-25 20:12 |
ocaml-higlo-dev-0.9-r0.apk | 663.1 KiB | 2024-Oct-25 20:12 |
ocaml-integers-0.7.0-r2.apk | 129.7 KiB | 2024-Oct-25 20:12 |
ocaml-iri-dev-1.0.0-r0.apk | 758.0 KiB | 2024-Oct-25 20:12 |
ocaml-gitlab-dev-0.1.8-r0.apk | 11.4 MiB | 2024-Oct-25 20:12 |
ocaml-jsonm-dev-1.0.2-r0.apk | 65.3 KiB | 2024-Oct-25 20:12 |
ocaml-iso8601-dev-0.2.6-r0.apk | 86.8 KiB | 2024-Oct-25 20:12 |
ocaml-hkdf-1.0.4-r2.apk | 13.5 KiB | 2024-Oct-25 20:12 |
ocaml-logs-0.7.0-r3.apk | 114.8 KiB | 2024-Oct-25 20:12 |
ocaml-gmap-dev-0.3.0-r2.apk | 74.4 KiB | 2024-Oct-25 20:12 |
ocaml-lambdasoup-dev-0.7.3-r2.apk | 345.2 KiB | 2024-Oct-25 20:12 |
ocaml-libvirt-dev-0.6.1.7-r0.apk | 92.2 KiB | 2024-Oct-25 20:12 |
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk | 2.4 MiB | 2024-Oct-25 20:12 |
ocaml-ipaddr-dev-5.3.1-r2.apk | 555.9 KiB | 2024-Oct-25 20:12 |
ocaml-lwd-0.3-r0.apk | 485.8 KiB | 2024-Oct-25 20:12 |
ocaml-lru-dev-0.3.0-r2.apk | 162.3 KiB | 2024-Oct-25 20:12 |
ocaml-lablgtk3-extras-3.0.1-r2.apk | 871.0 KiB | 2024-Oct-25 20:12 |
ocaml-happy-eyeballs-0.3.0-r2.apk | 82.6 KiB | 2024-Oct-25 20:12 |
ocaml-hkdf-dev-1.0.4-r2.apk | 18.4 KiB | 2024-Oct-25 20:12 |
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk | 58.7 KiB | 2024-Oct-25 20:12 |
ocaml-lwt-dllist-1.0.1-r3.apk | 22.7 KiB | 2024-Oct-25 20:12 |
ocaml-lru-0.3.0-r2.apk | 74.7 KiB | 2024-Oct-25 20:12 |
ocaml-iso8601-0.2.6-r0.apk | 51.9 KiB | 2024-Oct-25 20:12 |
ocaml-lwt-dev-5.7.0-r0.apk | 3.2 MiB | 2024-Oct-25 20:12 |
ocaml-higlo-0.9-r0.apk | 4.7 MiB | 2024-Oct-25 20:12 |
ocaml-lwt_log-1.1.1-r5.apk | 131.3 KiB | 2024-Oct-25 20:12 |
ocaml-fileutils-0.6.4-r2.apk | 309.4 KiB | 2024-Oct-25 20:12 |
ocaml-extlib-dev-1.7.9-r2.apk | 1.3 MiB | 2024-Oct-25 20:12 |
ocaml-fileutils-dev-0.6.4-r2.apk | 598.0 KiB | 2024-Oct-25 20:12 |
ocaml-down-dev-0.1.0-r3.apk | 265.8 KiB | 2024-Oct-25 20:12 |
ocaml-camomile-dev-1.0.2-r3.apk | 2.5 MiB | 2024-Oct-25 20:12 |
ocaml-duration-0.2.0-r2.apk | 26.6 KiB | 2024-Oct-25 20:12 |
ocaml-fileutils-doc-0.6.4-r2.apk | 15.8 KiB | 2024-Oct-25 20:12 |
ocaml-ctypes-0.20.1-r2.apk | 867.2 KiB | 2024-Oct-25 20:12 |
ocaml-dns-dev-6.2.2-r3.apk | 4.1 MiB | 2024-Oct-25 20:12 |
ocaml-fix-dev-20220121-r2.apk | 429.7 KiB | 2024-Oct-25 20:12 |
ocaml-fpath-0.7.3-r2.apk | 136.5 KiB | 2024-Oct-25 20:12 |
ocaml-eqaf-0.8-r2.apk | 68.0 KiB | 2024-Oct-25 20:12 |
ocaml-cstruct-dev-6.1.0-r3.apk | 699.6 KiB | 2024-Oct-25 20:12 |
ocaml-extlib-doc-1.7.9-r2.apk | 10.6 KiB | 2024-Oct-25 20:12 |
ocaml-dns-6.2.2-r3.apk | 2.2 MiB | 2024-Oct-25 20:12 |
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk | 104.3 KiB | 2024-Oct-25 20:12 |
ocaml-curses-dev-1.0.10-r2.apk | 302.5 KiB | 2024-Oct-25 20:12 |
ocaml-containers-top-3.7-r2.apk | 22.6 KiB | 2024-Oct-25 20:12 |
ocaml-gettext-dev-0.4.2-r3.apk | 725.2 KiB | 2024-Oct-25 20:12 |
ocaml-cmdliner-dev-1.1.1-r3.apk | 236.2 KiB | 2024-Oct-25 20:12 |
ocaml-cmdliner-1.1.1-r3.apk | 462.0 KiB | 2024-Oct-25 20:12 |
ocaml-curses-1.0.10-r2.apk | 138.9 KiB | 2024-Oct-25 20:12 |
ocaml-dns-tools-6.2.2-r3.apk | 10.4 MiB | 2024-Oct-25 20:12 |
ocaml-ezxmlm-1.1.0-r0.apk | 31.5 KiB | 2024-Oct-25 20:12 |
ocaml-charinfo_width-1.1.0-r3.apk | 101.6 KiB | 2024-Oct-25 20:12 |
ocaml-fmt-0.9.0-r2.apk | 187.8 KiB | 2024-Oct-25 20:12 |
ocaml-fix-20220121-r2.apk | 165.0 KiB | 2024-Oct-25 20:12 |
ocaml-conduit-dev-6.1.0-r0.apk | 542.3 KiB | 2024-Oct-25 20:12 |
ocaml-gen-dev-1.1-r1.apk | 608.2 KiB | 2024-Oct-25 20:12 |
ocaml-domain-name-0.4.0-r2.apk | 74.7 KiB | 2024-Oct-25 20:12 |
ocaml-compiler-libs-repackaged-0.12.4-r3.apk | 77.4 KiB | 2024-Oct-25 20:12 |
ocaml-conduit-6.1.0-r0.apk | 284.2 KiB | 2024-Oct-25 20:12 |
ocaml-extlib-1.7.9-r2.apk | 629.1 KiB | 2024-Oct-25 20:12 |
ocaml-ctypes-dev-0.20.1-r2.apk | 847.2 KiB | 2024-Oct-25 20:12 |
ocaml-cohttp-tools-5.3.1-r0.apk | 7.4 MiB | 2024-Oct-25 20:12 |
ocaml-ethernet-dev-3.0.0-r3.apk | 83.2 KiB | 2024-Oct-25 20:12 |
ocaml-gen-1.1-r1.apk | 320.1 KiB | 2024-Oct-25 20:12 |
ocaml-duration-dev-0.2.0-r2.apk | 44.0 KiB | 2024-Oct-25 20:12 |
ocaml-gettext-0.4.2-r3.apk | 3.2 MiB | 2024-Oct-25 20:12 |
ocaml-eqaf-dev-0.8-r2.apk | 120.5 KiB | 2024-Oct-25 20:12 |
ocaml-domain-name-dev-0.4.0-r2.apk | 141.4 KiB | 2024-Oct-25 20:12 |
ocaml-containers-dev-3.7-r2.apk | 6.6 MiB | 2024-Oct-25 20:12 |
ocaml-ezxmlm-dev-1.1.0-r0.apk | 51.9 KiB | 2024-Oct-25 20:12 |
ocaml-erm_xml-0_git20211229-r2.apk | 574.1 KiB | 2024-Oct-25 20:12 |
ocaml-containers-3.7-r2.apk | 3.5 MiB | 2024-Oct-25 20:12 |
ocaml-down-0.1.0-r3.apk | 584.4 KiB | 2024-Oct-25 20:12 |
ocaml-charinfo_width-dev-1.1.0-r3.apk | 188.1 KiB | 2024-Oct-25 20:12 |
ocaml-ethernet-3.0.0-r3.apk | 42.2 KiB | 2024-Oct-25 20:12 |
ocaml-erm_xmpp-0_git20220404-r2.apk | 1.3 MiB | 2024-Oct-25 20:12 |
ocaml-cohttp-dev-5.3.1-r0.apk | 1.3 MiB | 2024-Oct-25 20:12 |
ocaml-fpath-dev-0.7.3-r2.apk | 99.6 KiB | 2024-Oct-25 20:12 |
ocaml-erm_xml-dev-0_git20211229-r2.apk | 770.2 KiB | 2024-Oct-25 20:12 |
ocaml-easy-format-dev-1.3.4-r1.apk | 111.3 KiB | 2024-Oct-25 20:12 |
ocaml-cmdliner-doc-1.1.1-r3.apk | 19.8 KiB | 2024-Oct-25 20:12 |
ocaml-cohttp-5.3.1-r0.apk | 663.8 KiB | 2024-Oct-25 20:12 |
ocaml-gettext-doc-0.4.2-r3.apk | 19.2 KiB | 2024-Oct-25 20:12 |
ocaml-gitlab-0.1.8-r0.apk | 2.9 MiB | 2024-Oct-25 20:12 |
ocaml-fmt-dev-0.9.0-r2.apk | 123.0 KiB | 2024-Oct-25 20:12 |
ocaml-easy-format-1.3.4-r1.apk | 59.8 KiB | 2024-Oct-25 20:12 |
ocaml-cstruct-6.1.0-r3.apk | 3.9 MiB | 2024-Oct-25 20:12 |
ocaml-erm_xmpp-dev-0_git20220404-r2.apk | 1.6 MiB | 2024-Oct-25 20:12 |
ocaml-ca-certs-dev-0.2.2-r2.apk | 40.0 KiB | 2024-Oct-25 20:11 |
ocaml-base-dev-0.16.3-r0.apk | 9.6 MiB | 2024-Oct-25 20:11 |
ocaml-calendar-doc-2.04-r4.apk | 11.9 KiB | 2024-Oct-25 20:11 |
ocaml-arp-dev-3.0.0-r3.apk | 158.0 KiB | 2024-Oct-25 20:11 |
ocaml-bisect_ppx-dev-2.8.3-r0.apk | 544.8 KiB | 2024-Oct-25 20:11 |
ocaml-amqp-client-2.3.0-r0.apk | 598.2 KiB | 2024-Oct-25 20:11 |
ocaml-ca-certs-nss-tools-3.89.1-r1.apk | 1.5 MiB | 2024-Oct-25 20:11 |
nwg-displays-0.3.13-r1.apk | 23.6 KiB | 2024-Oct-25 20:11 |
ocaml-asn1-combinators-0.2.6-r2.apk | 313.0 KiB | 2024-Oct-25 20:11 |
ocaml-camlzip-dev-1.11-r2.apk | 216.1 KiB | 2024-Oct-25 20:11 |
ocaml-amqp-client-dev-2.3.0-r0.apk | 1.0 MiB | 2024-Oct-25 20:11 |
ocaml-bisect_ppx-2.8.3-r0.apk | 4.3 MiB | 2024-Oct-25 20:11 |
ocaml-alcotest-1.5.0-r4.apk | 456.9 KiB | 2024-Oct-25 20:11 |
ocaml-camlzip-1.11-r2.apk | 113.8 KiB | 2024-Oct-25 20:11 |
ocaml-base-0.16.3-r0.apk | 4.6 MiB | 2024-Oct-25 20:11 |
ocaml-atd-dev-2.15.0-r0.apk | 1.9 MiB | 2024-Oct-25 20:11 |
ocaml-arp-3.0.0-r3.apk | 82.9 KiB | 2024-Oct-25 20:11 |
ocaml-ca-certs-nss-3.89.1-r1.apk | 394.5 KiB | 2024-Oct-25 20:11 |
nymphcast-mediaserver-nftables-0.1-r3.apk | 1.7 KiB | 2024-Oct-25 20:11 |
ocaml-bos-0.2.1-r2.apk | 455.1 KiB | 2024-Oct-25 20:11 |
ocaml-bitstring-dev-4.1.0-r3.apk | 570.2 KiB | 2024-Oct-25 20:11 |
ocaml-base64-3.5.0-r2.apk | 88.2 KiB | 2024-Oct-25 20:11 |
objconv-2.52_git20210213-r2.apk | 264.4 KiB | 2024-Oct-25 20:11 |
ocaml-bos-dev-0.2.1-r2.apk | 344.5 KiB | 2024-Oct-25 20:11 |
ocaml-bigstringaf-dev-0.9.0-r2.apk | 90.8 KiB | 2024-Oct-25 20:11 |
ocaml-bigarray-compat-dev-1.1.0-r2.apk | 12.3 KiB | 2024-Oct-25 20:11 |
nwg-displays-pyc-0.3.13-r1.apk | 34.8 KiB | 2024-Oct-25 20:11 |
ocaml-camomile-data-1.0.2-r3.apk | 5.1 MiB | 2024-Oct-25 20:11 |
ocaml-calendar-2.04-r4.apk | 212.2 KiB | 2024-Oct-25 20:11 |
ocaml-bigstringaf-0.9.0-r2.apk | 47.0 KiB | 2024-Oct-25 20:11 |
ocaml-base64-dev-3.5.0-r2.apk | 175.2 KiB | 2024-Oct-25 20:11 |
ocaml-bitstring-4.1.0-r3.apk | 3.8 MiB | 2024-Oct-25 20:11 |
ocaml-atd-2.15.0-r0.apk | 6.5 MiB | 2024-Oct-25 20:11 |
ocaml-asn1-combinators-dev-0.2.6-r2.apk | 572.1 KiB | 2024-Oct-25 20:11 |
ocaml-camomile-1.0.2-r3.apk | 1.3 MiB | 2024-Oct-25 20:11 |
ocaml-biniou-1.2.1-r5.apk | 551.6 KiB | 2024-Oct-25 20:11 |
ocaml-angstrom-dev-0.16.0-r0.apk | 340.3 KiB | 2024-Oct-25 20:11 |
ocaml-astring-0.8.5-r2.apk | 282.8 KiB | 2024-Oct-25 20:11 |
ocaml-ca-certs-0.2.2-r2.apk | 28.4 KiB | 2024-Oct-25 20:11 |
ocaml-astring-dev-0.8.5-r2.apk | 180.2 KiB | 2024-Oct-25 20:11 |
ocaml-cairo2-dev-0.6.2-r2.apk | 433.9 KiB | 2024-Oct-25 20:11 |
ocaml-biniou-dev-1.2.1-r5.apk | 363.6 KiB | 2024-Oct-25 20:11 |
ocaml-bigarray-compat-1.1.0-r2.apk | 12.6 KiB | 2024-Oct-25 20:11 |
ocaml-cairo2-0.6.2-r2.apk | 166.3 KiB | 2024-Oct-25 20:11 |
ocaml-alcotest-dev-1.5.0-r4.apk | 820.7 KiB | 2024-Oct-25 20:11 |
ocaml-calendar-dev-2.04-r4.apk | 139.5 KiB | 2024-Oct-25 20:11 |
ocaml-angstrom-0.16.0-r0.apk | 175.1 KiB | 2024-Oct-25 20:11 |
nymphcast-mediaserver-0.1-r3.apk | 140.4 KiB | 2024-Oct-25 20:11 |
ocaml-ca-certs-nss-dev-3.89.1-r1.apk | 447.0 KiB | 2024-Oct-25 20:11 |
nvimpager-zsh-completion-0.12.0-r0.apk | 1.8 KiB | 2024-Oct-25 20:11 |
nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.5 KiB | 2024-Oct-25 20:11 |
nmap-parse-output-bash-completion-1.5.1-r0.apk | 1.7 KiB | 2024-Oct-25 20:11 |
nvimpager-doc-0.12.0-r0.apk | 4.4 KiB | 2024-Oct-25 20:11 |
nmap-parse-output-1.5.1-r0.apk | 21.4 KiB | 2024-Oct-25 20:11 |
nsh-0.4.2-r1.apk | 626.7 KiB | 2024-Oct-25 20:11 |
noice-0.8-r1.apk | 10.2 KiB | 2024-Oct-25 20:11 |
notification-daemon-3.20.0-r0.apk | 62.3 KiB | 2024-Oct-25 20:11 |
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.3 KiB | 2024-Oct-25 20:11 |
nvim-cmp-0.0.0_git20221011-r1.apk | 54.8 KiB | 2024-Oct-25 20:11 |
nitrocli-0.4.1-r3.apk | 438.4 KiB | 2024-Oct-25 20:11 |
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2.0 KiB | 2024-Oct-25 20:11 |
nuklear-4.12.0-r0.apk | 219.8 KiB | 2024-Oct-25 20:11 |
nitro-dev-2.7_beta8-r2.apk | 190.0 KiB | 2024-Oct-25 20:11 |
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2.8 KiB | 2024-Oct-25 20:11 |
nvim-packer-doc-0.0.0_git20220910-r1.apk | 21.2 KiB | 2024-Oct-25 20:11 |
nsh-dbg-0.4.2-r1.apk | 3.4 MiB | 2024-Oct-25 20:11 |
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.5 KiB | 2024-Oct-25 20:11 |
nitrocli-doc-0.4.1-r3.apk | 8.8 KiB | 2024-Oct-25 20:11 |
nil-2023.08.09-r0.apk | 1.7 MiB | 2024-Oct-25 20:11 |
numbat-doc-1.9.0-r0.apk | 24.8 KiB | 2024-Oct-25 20:11 |
nuklear-doc-4.12.0-r0.apk | 42.4 KiB | 2024-Oct-25 20:11 |
nsnake-doc-3.0.0-r0.apk | 2.6 KiB | 2024-Oct-25 20:11 |
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.6 KiB | 2024-Oct-25 20:11 |
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.8 KiB | 2024-Oct-25 20:11 |
nullmailer-2.2-r4.apk | 139.2 KiB | 2024-Oct-25 20:11 |
nvtop-doc-3.1.0-r0.apk | 3.5 KiB | 2024-Oct-25 20:11 |
nitro-2.7_beta8-r2.apk | 535.6 KiB | 2024-Oct-25 20:11 |
nvtop-3.1.0-r0.apk | 57.8 KiB | 2024-Oct-25 20:11 |
nitrocli-bash-completion-0.4.1-r3.apk | 3.2 KiB | 2024-Oct-25 20:11 |
nmon-16q-r0.apk | 73.6 KiB | 2024-Oct-25 20:11 |
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2.0 KiB | 2024-Oct-25 20:11 |
noblenote-1.2.1-r1.apk | 418.2 KiB | 2024-Oct-25 20:11 |
nm-tray-0.5.0-r0.apk | 106.4 KiB | 2024-Oct-25 20:11 |
nullmailer-openrc-2.2-r4.apk | 1.6 KiB | 2024-Oct-25 20:11 |
nvim-packer-0.0.0_git20220910-r1.apk | 45.6 KiB | 2024-Oct-25 20:11 |
nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.5 KiB | 2024-Oct-25 20:11 |
nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.8 KiB | 2024-Oct-25 20:11 |
nvimpager-0.12.0-r0.apk | 12.6 KiB | 2024-Oct-25 20:11 |
noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2024-Oct-25 20:11 |
numbat-1.9.0-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.8 KiB | 2024-Oct-25 20:11 |
nullmailer-doc-2.2-r4.apk | 10.2 KiB | 2024-Oct-25 20:11 |
nmap-parse-output-doc-1.5.1-r0.apk | 807.5 KiB | 2024-Oct-25 20:11 |
nixpacks-0.1.7-r1.apk | 904.3 KiB | 2024-Oct-25 20:11 |
nkk-dev-0_git20221010-r0.apk | 2.9 KiB | 2024-Oct-25 20:11 |
nkk-doc-0_git20221010-r0.apk | 7.0 KiB | 2024-Oct-25 20:11 |
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4.2 KiB | 2024-Oct-25 20:11 |
nsnake-3.0.0-r0.apk | 9.8 KiB | 2024-Oct-25 20:11 |
noice-doc-0.8-r1.apk | 3.4 KiB | 2024-Oct-25 20:11 |
nkk-0_git20221010-r0.apk | 15.9 KiB | 2024-Oct-25 20:11 |
nvim-gruvbox-0.0.0_git20221212-r1.apk | 10.2 KiB | 2024-Oct-25 20:11 |
noggin-model-0.1-r0.apk | 12.0 MiB | 2024-Oct-25 20:11 |
nm-tray-lang-0.5.0-r0.apk | 26.9 KiB | 2024-Oct-25 20:11 |
nextpnr-ice40-0.7-r0.apk | 68.6 MiB | 2024-Oct-25 20:11 |
nfoview-doc-2.0.1-r0.apk | 8.0 KiB | 2024-Oct-25 20:11 |
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> | 21.3 KiB | 2024-Oct-25 20:11 |
nfoview-2.0.1-r0.apk | 38.9 KiB | 2024-Oct-25 20:11 |
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> | 712.7 KiB | 2024-Oct-25 20:11 |
netscanner-doc-0.5.1-r1.apk | 3.3 KiB | 2024-Oct-25 20:11 |
netsed-1.3-r3.apk | 10.7 KiB | 2024-Oct-25 20:11 |
netsurf-framebuffer-doc-3.11-r0.apk | 3.9 KiB | 2024-Oct-25 20:11 |
nextpnr-generic-0.7-r0.apk | 781.4 KiB | 2024-Oct-25 20:11 |
netsurf-doc-3.11-r0.apk | 4.4 KiB | 2024-Oct-25 20:11 |
nauty-libs-2.8.9-r0.apk | 2.1 MiB | 2024-Oct-25 20:11 |
nano-hare-0_git20231021-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
netsurf-framebuffer-3.11-r0.apk | 2.8 MiB | 2024-Oct-25 20:11 |
newsyslog-1.2.0.91-r1.apk | 17.2 KiB | 2024-Oct-25 20:11 |
ndpi-4.10-r0.apk | 1.4 MiB | 2024-Oct-25 20:11 |
netsurf-3.11-r0.apk | 2.1 MiB | 2024-Oct-25 20:11 |
nextpnr-0.7-r0.apk | 1.4 KiB | 2024-Oct-25 20:11 |
neard-0.19-r0.apk | 134.0 KiB | 2024-Oct-25 20:11 |
nextpnr-gowin-0.7-r0.apk | 1.5 MiB | 2024-Oct-25 20:11 |
newsyslog-doc-1.2.0.91-r1.apk | 24.0 KiB | 2024-Oct-25 20:11 |
nextpnr-ecp5-0.7-r0.apk | 25.4 MiB | 2024-Oct-25 20:11 |
nauty-2.8.9-r0.apk | 5.6 MiB | 2024-Oct-25 20:11 |
netdiscover-0.10-r0.apk | 404.5 KiB | 2024-Oct-25 20:11 |
nbsdgames-5-r0.apk | 104.5 KiB | 2024-Oct-25 20:11 |
nbsdgames-doc-5-r0.apk | 9.4 KiB | 2024-Oct-25 20:11 |
mypaint-lang-2.0.1-r1.apk | 1.2 MiB | 2024-Oct-25 20:11 |
neard-doc-0.19-r0.apk | 5.6 KiB | 2024-Oct-25 20:11 |
nauty-dev-2.8.9-r0.apk | 2.9 MiB | 2024-Oct-25 20:11 |
ndpi-dev-4.10-r0.apk | 898.0 KiB | 2024-Oct-25 20:11 |
netscanner-0.5.1-r1.apk | 3.6 MiB | 2024-Oct-25 20:11 |
netdiscover-doc-0.10-r0.apk | 22.0 KiB | 2024-Oct-25 20:11 |
mypaint-pyc-2.0.1-r1.apk | 1.1 MiB | 2024-Oct-25 20:11 |
nemo-gtkhash-plugin-1.5-r0.apk | 25.9 KiB | 2024-Oct-25 20:11 |
n30f-2.0-r3.apk | 6.8 KiB | 2024-Oct-25 20:11 |
neard-dev-0.19-r0.apk | 11.1 KiB | 2024-Oct-25 20:11 |
neard-openrc-0.19-r0.apk | 1.7 KiB | 2024-Oct-25 20:11 |
motion-lang-4.7.0-r0.apk | 471.2 KiB | 2024-Oct-25 20:11 |
moon-buggy-doc-1.0.51-r1.apk | 7.1 KiB | 2024-Oct-25 20:11 |
mrsh-0_git20210518-r1.apk | 5.7 KiB | 2024-Oct-25 20:11 |
mpdcron-zsh-completion-0.3-r1.apk | 2.9 KiB | 2024-Oct-25 20:11 |
motion-doc-4.7.0-r0.apk | 139.9 KiB | 2024-Oct-25 20:11 |
mpdris2-doc-0.9.1-r3.apk | 14.9 KiB | 2024-Oct-25 20:11 |
mrsh-libs-0_git20210518-r1.apk | 67.8 KiB | 2024-Oct-25 20:11 |
mpdcron-doc-0.3-r1.apk | 13.4 KiB | 2024-Oct-25 20:11 |
mongo-cxx-driver-dev-3.8.0-r0.apk | 88.9 KiB | 2024-Oct-25 20:11 |
monetdb-11.33.11-r4.apk | 2.2 MiB | 2024-Oct-25 20:11 |
mpdris2-0.9.1-r3.apk | 15.2 KiB | 2024-Oct-25 20:11 |
motion-4.7.0-r0.apk | 140.0 KiB | 2024-Oct-25 20:11 |
mp3val-0.1.8-r1.apk | 14.3 KiB | 2024-Oct-25 20:11 |
mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2024-Oct-25 20:11 |
msr-tools-1.3-r1.apk | 10.1 KiB | 2024-Oct-25 20:11 |
mongo-cxx-driver-3.8.0-r0.apk | 201.9 KiB | 2024-Oct-25 20:11 |
mpdcron-0.3-r1.apk | 103.6 KiB | 2024-Oct-25 20:11 |
mxclient-0_git20211002-r1.apk | 80.7 KiB | 2024-Oct-25 20:11 |
mpdcron-dev-0.3-r1.apk | 58.5 KiB | 2024-Oct-25 20:11 |
mpdris2-rs-doc-0.2.3-r0.apk | 13.6 KiB | 2024-Oct-25 20:11 |
motion-openrc-4.7.0-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
mtree-portable-0_git20220519-r0.apk | 24.4 KiB | 2024-Oct-25 20:11 |
moon-buggy-1.0.51-r1.apk | 37.4 KiB | 2024-Oct-25 20:11 |
mrsh-dev-0_git20210518-r1.apk | 10.0 KiB | 2024-Oct-25 20:11 |
mtree-portable-doc-0_git20220519-r0.apk | 11.5 KiB | 2024-Oct-25 20:11 |
mrsh-dbg-0_git20210518-r1.apk | 188.4 KiB | 2024-Oct-25 20:11 |
mspdebug-doc-0.25-r1.apk | 14.2 KiB | 2024-Oct-25 20:11 |
monetdb-dev-11.33.11-r4.apk | 77.1 KiB | 2024-Oct-25 20:11 |
monetdb-doc-11.33.11-r4.apk | 320.9 KiB | 2024-Oct-25 20:11 |
mypaint-2.0.1-r1.apk | 3.9 MiB | 2024-Oct-25 20:11 |
moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2024-Oct-25 20:11 |
mpdris2-rs-0.2.3-r0.apk | 744.9 KiB | 2024-Oct-25 20:11 |
mpv-sponsorblock-2.1.0-r0.apk | 1.4 MiB | 2024-Oct-25 20:11 |
mspdebug-0.25-r1.apk | 196.0 KiB | 2024-Oct-25 20:11 |
mlxl-0.1-r0.apk | 6.4 KiB | 2024-Oct-25 20:11 |
mmix-0_git20221025-r0.apk | 150.2 KiB | 2024-Oct-25 20:11 |
mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.8 KiB | 2024-Oct-25 20:11 |
modem-manager-gui-lang-0.0.20-r0.apk | 129.3 KiB | 2024-Oct-25 20:11 |
mobpass-0.2-r6.apk | 18.0 KiB | 2024-Oct-25 20:11 |
mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.8 KiB | 2024-Oct-25 20:11 |
mkdocs-bootstrap4-0.1.5-r5.apk | 260.1 KiB | 2024-Oct-25 20:11 |
mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2024-Oct-25 20:11 |
mkdocs-cinder-pyc-1.2.0-r5.apk | 1.8 KiB | 2024-Oct-25 20:11 |
moe-1.14-r0.apk | 109.5 KiB | 2024-Oct-25 20:11 |
mkdocs-cluster-pyc-0.0.9-r5.apk | 1.8 KiB | 2024-Oct-25 20:11 |
mnamer-pyc-2.5.5-r1.apk | 60.5 KiB | 2024-Oct-25 20:11 |
mkdocs-bootswatch-1.1-r5.apk | 538.4 KiB | 2024-Oct-25 20:11 |
modem-manager-gui-0.0.20-r0.apk | 373.4 KiB | 2024-Oct-25 20:11 |
mkdocs-windmill-1.0.5-r4.apk | 944.1 KiB | 2024-Oct-25 20:11 |
mkdocs-ivory-pyc-0.4.6-r5.apk | 1.8 KiB | 2024-Oct-25 20:11 |
mod_dnssd-0.6-r0.apk | 8.7 KiB | 2024-Oct-25 20:11 |
mkdocs-cluster-0.0.9-r5.apk | 651.1 KiB | 2024-Oct-25 20:11 |
mint-y-theme-gtk3-2.1.1-r0.apk | 1.8 MiB | 2024-Oct-25 20:11 |
mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.8 KiB | 2024-Oct-25 20:11 |
mkg3a-doc-0.5.0-r1.apk | 3.1 KiB | 2024-Oct-25 20:11 |
mml-fish-completion-1.0.0-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2024-Oct-25 20:11 |
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.8 KiB | 2024-Oct-25 20:11 |
mkdocs-windmill-pyc-1.0.5-r4.apk | 1.8 KiB | 2024-Oct-25 20:11 |
mm-common-doc-1.0.5-r0.apk | 31.7 KiB | 2024-Oct-25 20:11 |
mm-common-1.0.5-r0.apk | 473.0 KiB | 2024-Oct-25 20:11 |
mktorrent-borg-0.9.9-r1.apk | 11.4 KiB | 2024-Oct-25 20:11 |
mmtc-0.3.2-r0.apk | 507.0 KiB | 2024-Oct-25 20:11 |
mint-y-theme-xfwm4-2.1.1-r0.apk | 207.0 KiB | 2024-Oct-25 20:11 |
mint-y-theme-metacity-2.1.1-r0.apk | 57.7 KiB | 2024-Oct-25 20:11 |
mm-dev-1.4.2-r1.apk | 13.1 KiB | 2024-Oct-25 20:11 |
mml-doc-1.0.0-r0.apk | 3.9 KiB | 2024-Oct-25 20:11 |
mkg3a-0.5.0-r1.apk | 14.6 KiB | 2024-Oct-25 20:11 |
moe-doc-1.14-r0.apk | 19.0 KiB | 2024-Oct-25 20:11 |
mint-y-theme-gtk4-2.1.1-r0.apk | 1.6 MiB | 2024-Oct-25 20:11 |
mkdocs-gitbook-0.0.1-r5.apk | 644.1 KiB | 2024-Oct-25 20:11 |
mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.4 KiB | 2024-Oct-25 20:11 |
mml-1.0.0-r0.apk | 863.5 KiB | 2024-Oct-25 20:11 |
mkdocs-bootswatch-pyc-1.1-r5.apk | 4.7 KiB | 2024-Oct-25 20:11 |
mnamer-2.5.5-r1.apk | 31.7 KiB | 2024-Oct-25 20:11 |
mkdocs-ivory-0.4.6-r5.apk | 10.9 KiB | 2024-Oct-25 20:11 |
mobpass-pyc-0.2-r6.apk | 5.2 KiB | 2024-Oct-25 20:11 |
mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.8 KiB | 2024-Oct-25 20:11 |
mkdocs-bootstrap-1.1.1-r2.apk | 28.5 KiB | 2024-Oct-25 20:11 |
mkdocs-cinder-1.2.0-r5.apk | 248.6 KiB | 2024-Oct-25 20:11 |
mml-zsh-completion-1.0.0-r0.apk | 2.8 KiB | 2024-Oct-25 20:11 |
mkdocs-bootstrap386-0.0.2-r5.apk | 791.3 KiB | 2024-Oct-25 20:11 |
mint-y-theme-gtk2-2.1.1-r0.apk | 656.1 KiB | 2024-Oct-25 20:11 |
mjpg-streamer-0_git20210220-r1.apk | 190.9 KiB | 2024-Oct-25 20:11 |
mm-1.4.2-r1.apk | 8.7 KiB | 2024-Oct-25 20:11 |
mm-doc-1.4.2-r1.apk | 14.3 KiB | 2024-Oct-25 20:11 |
mml-bash-completion-1.0.0-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
mint-y-theme-2.1.1-r0.apk | 4.1 KiB | 2024-Oct-25 20:11 |
milkytracker-doc-1.04.00-r2.apk | 50.4 KiB | 2024-Oct-25 20:11 |
milkytracker-1.04.00-r2.apk | 1015.8 KiB | 2024-Oct-25 20:11 |
merlin-vim-4.14-r0.apk | 27.9 KiB | 2024-Oct-25 20:11 |
minisatip-openrc-1.3.4-r0.apk | 1.9 KiB | 2024-Oct-25 20:11 |
minimodem-0.24-r1.apk | 22.0 KiB | 2024-Oct-25 20:11 |
mimalloc1-debug-1.8.6-r0.apk | 175.7 KiB | 2024-Oct-25 20:11 |
minidyndns-1.3.0-r3.apk | 11.6 KiB | 2024-Oct-25 20:11 |
mint-x-theme-gtk2-2.1.1-r0.apk | 489.9 KiB | 2024-Oct-25 20:11 |
merlin-emacs-4.14-r0.apk | 28.5 KiB | 2024-Oct-25 20:11 |
mint-x-theme-xfwm4-2.1.1-r0.apk | 34.8 KiB | 2024-Oct-25 20:11 |
mimalloc1-1.8.6-r0.apk | 72.8 KiB | 2024-Oct-25 20:11 |
metalang99-1.13.3-r0.apk | 54.3 KiB | 2024-Oct-25 20:11 |
mimeo-2023-r2.apk | 28.2 KiB | 2024-Oct-25 20:11 |
mint-x-theme-gtk3-2.1.1-r0.apk | 603.5 KiB | 2024-Oct-25 20:11 |
mint-x-theme-gtk4-2.1.1-r0.apk | 510.5 KiB | 2024-Oct-25 20:11 |
mint-themes-doc-2.1.1-r0.apk | 12.8 KiB | 2024-Oct-25 20:11 |
mimalloc1-dev-1.8.6-r0.apk | 436.5 KiB | 2024-Oct-25 20:11 |
minidyndns-openrc-1.3.0-r3.apk | 1.8 KiB | 2024-Oct-25 20:11 |
minimodem-doc-0.24-r1.apk | 5.2 KiB | 2024-Oct-25 20:11 |
merlin-4.14-r0.apk | 13.9 MiB | 2024-Oct-25 20:11 |
minisatip-1.3.4-r0.apk | 303.1 KiB | 2024-Oct-25 20:11 |
mimeo-pyc-2023-r2.apk | 41.6 KiB | 2024-Oct-25 20:11 |
mint-x-theme-2.1.1-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
mingw-w64-libusb-1.0.27-r0.apk | 212.7 KiB | 2024-Oct-25 20:11 |
mint-themes-2.1.1-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
mimalloc1-insecure-1.8.6-r0.apk | 65.3 KiB | 2024-Oct-25 20:11 |
mint-x-theme-metacity-2.1.1-r0.apk | 6.1 KiB | 2024-Oct-25 20:11 |
merlin-dev-4.14-r0.apk | 22.4 MiB | 2024-Oct-25 20:11 |
minidyndns-doc-1.3.0-r3.apk | 5.1 KiB | 2024-Oct-25 20:11 |
mdnsd-static-0.12-r1.apk | 18.0 KiB | 2024-Oct-25 20:11 |
materia-light-compact-kde-kvantum-20220823-r0.apk | 1.5 KiB | 2024-Oct-25 20:11 |
mdp-1.0.15-r1.apk | 17.7 KiB | 2024-Oct-25 20:11 |
materia-kde-plasma-20220823-r0.apk | 1.7 MiB | 2024-Oct-25 20:11 |
memdump-1.01-r1.apk | 5.7 KiB | 2024-Oct-25 20:11 |
memdump-doc-1.01-r1.apk | 3.1 KiB | 2024-Oct-25 20:11 |
maxima-doc-5.47.0-r8.apk | 760.9 KiB | 2024-Oct-25 20:11 |
mergerfs-doc-2.40.2-r1.apk | 41.8 KiB | 2024-Oct-25 20:11 |
maxima-bash-completion-5.47.0-r8.apk | 2.4 KiB | 2024-Oct-25 20:11 |
maxima-emacs-5.47.0-r8.apk | 111.4 KiB | 2024-Oct-25 20:11 |
mdnsd-0.12-r1.apk | 23.4 KiB | 2024-Oct-25 20:11 |
megazeux-doc-2.93b-r0.apk | 453.7 KiB | 2024-Oct-25 20:11 |
mdnsd-libs-0.12-r1.apk | 19.1 KiB | 2024-Oct-25 20:11 |
mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2024-Oct-25 20:11 |
mdnsd-openrc-0.12-r1.apk | 2.1 KiB | 2024-Oct-25 20:11 |
mbpfan-openrc-2.4.0-r1.apk | 1.6 KiB | 2024-Oct-25 20:11 |
materia-light-kde-yakuake-20220823-r0.apk | 21.5 KiB | 2024-Oct-25 20:11 |
menumaker-0.99.14-r1.apk | 111.0 KiB | 2024-Oct-25 20:11 |
mcman-doc-0.4.5-r0.apk | 13.7 KiB | 2024-Oct-25 20:11 |
materia-light-kde-plasma-20220823-r0.apk | 20.1 KiB | 2024-Oct-25 20:11 |
mergerfs-2.40.2-r1.apk | 297.0 KiB | 2024-Oct-25 20:11 |
mbrola-3.3-r0.apk | 24.1 KiB | 2024-Oct-25 20:11 |
maxima-doc-extra-5.47.0-r8.apk | 10.0 MiB | 2024-Oct-25 20:11 |
mcqd-dev-1.0.0-r1.apk | 4.0 KiB | 2024-Oct-25 20:11 |
mcman-0.4.5-r0.apk | 2.7 MiB | 2024-Oct-25 20:11 |
megazeux-2.93b-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
mcqd-1.0.0-r1.apk | 13.9 KiB | 2024-Oct-25 20:11 |
mdp-doc-1.0.15-r1.apk | 3.7 KiB | 2024-Oct-25 20:11 |
mdbook-plantuml-0.8.0-r0.apk | 918.1 KiB | 2024-Oct-25 20:11 |
md5ha1-0_git20171202-r1.apk | 8.8 KiB | 2024-Oct-25 20:11 |
mediastreamer2-plugin-x264-20200722-r6.apk | 8.4 KiB | 2024-Oct-25 20:11 |
materia-light-kde-kvantum-20220823-r0.apk | 29.6 KiB | 2024-Oct-25 20:11 |
mcjoin-2.11-r0.apk | 25.5 KiB | 2024-Oct-25 20:11 |
mdnsd-doc-0.12-r1.apk | 14.4 KiB | 2024-Oct-25 20:11 |
mbpfan-2.4.0-r1.apk | 13.2 KiB | 2024-Oct-25 20:11 |
mbpfan-doc-2.4.0-r1.apk | 5.3 KiB | 2024-Oct-25 20:11 |
maxima-5.47.0-r8.apk | 22.2 MiB | 2024-Oct-25 20:11 |
materia-compact-gtk3-20210322-r1.apk | 64.6 KiB | 2024-Oct-25 20:11 |
materia-dark-compact-gtk3-20210322-r1.apk | 41.2 KiB | 2024-Oct-25 20:11 |
materia-dark-compact-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 20:11 |
materia-gtk2-20210322-r1.apk | 37.8 KiB | 2024-Oct-25 20:11 |
materia-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-Oct-25 20:11 |
mangr0ve-0.1.2-r0.apk | 2.8 KiB | 2024-Oct-25 20:11 |
materia-dark-gtk2-20210322-r1.apk | 37.7 KiB | 2024-Oct-25 20:11 |
materia-dark-gtk3-20210322-r1.apk | 41.2 KiB | 2024-Oct-25 20:11 |
materia-dark-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 20:11 |
mat2-0.13.4-r3.apk | 35.4 KiB | 2024-Oct-25 20:11 |
materia-gnome-shell-20210322-r1.apk | 31.5 KiB | 2024-Oct-25 20:11 |
materia-dark-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 20:11 |
masky-0.2.0-r1.apk | 277.7 KiB | 2024-Oct-25 20:11 |
materia-compact-gtk2-20210322-r1.apk | 37.8 KiB | 2024-Oct-25 20:11 |
materia-dark-compact-gtk2-20210322-r1.apk | 37.7 KiB | 2024-Oct-25 20:11 |
materia-dark-compact-kde-kvantum-20220823-r0.apk | 1.5 KiB | 2024-Oct-25 20:11 |
materia-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 20:11 |
materia-dark-gnome-shell-20210322-r1.apk | 31.5 KiB | 2024-Oct-25 20:11 |
materia-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 20:11 |
materia-dark-kde-plasma-20220823-r0.apk | 502.9 KiB | 2024-Oct-25 20:11 |
mat2-doc-0.13.4-r3.apk | 7.7 KiB | 2024-Oct-25 20:11 |
materia-compact-gnome-shell-20210322-r1.apk | 31.6 KiB | 2024-Oct-25 20:11 |
materia-dark-compact-gnome-shell-20210322-r1.apk | 31.6 KiB | 2024-Oct-25 20:11 |
materia-dark-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-Oct-25 20:11 |
materia-compact-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 20:11 |
materia-dark-compact-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 20:11 |
mame-mess-0.251-r0.apk | 52.1 MiB | 2024-Oct-25 20:11 |
masky-pyc-0.2.0-r1.apk | 64.3 KiB | 2024-Oct-25 20:11 |
materia-gtk3-20210322-r1.apk | 64.7 KiB | 2024-Oct-25 20:11 |
materia-compact-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 20:11 |
materia-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-Oct-25 20:11 |
mame-tools-0.251-r0.apk | 2.7 MiB | 2024-Oct-25 20:11 |
marxan-4.0.7-r1.apk | 602.3 KiB | 2024-Oct-25 20:11 |
materia-kde-20220823-r0.apk | 19.3 KiB | 2024-Oct-25 20:11 |
mat2-pyc-0.13.4-r3.apk | 53.9 KiB | 2024-Oct-25 20:11 |
mangr0ve-doc-0.1.2-r0.apk | 14.4 KiB | 2024-Oct-25 20:11 |
materia-dark-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-Oct-25 20:11 |
materia-dark-kde-yakuake-20220823-r0.apk | 21.7 KiB | 2024-Oct-25 20:11 |
mame-plugins-0.251-r0.apk | 166.3 KiB | 2024-Oct-25 20:11 |
materia-gtk-theme-20210322-r1.apk | 151.1 KiB | 2024-Oct-25 20:11 |
mame-doc-0.251-r0.apk | 24.1 KiB | 2024-Oct-25 20:11 |
mame-common-0.251-r0.apk | 2.7 KiB | 2024-Oct-25 20:11 |
mame-lang-0.251-r0.apk | 1.4 MiB | 2024-Oct-25 20:11 |
mame-arcade-0.251-r0.apk | 64.9 MiB | 2024-Oct-25 20:11 |
mame-data-0.251-r0.apk | 19.1 MiB | 2024-Oct-25 20:11 |
mame-0.251-r0.apk | 94.2 MiB | 2024-Oct-25 20:11 |
makeself-2.5.0-r0.apk | 13.0 KiB | 2024-Oct-25 20:11 |
ma1sd-openrc-2.5.0-r3.apk | 2.0 KiB | 2024-Oct-25 20:11 |
mailtutan-0.3.0-r0.apk | 1.3 MiB | 2024-Oct-25 20:11 |
ma1sd-2.5.0-r3.apk | 38.1 MiB | 2024-Oct-25 20:11 |
lzfse-1.0-r0.apk | 19.9 KiB | 2024-Oct-25 20:11 |
m2r2-0.3.3-r3.apk | 12.5 KiB | 2024-Oct-25 20:11 |
lynis-bash-completion-3.1.1-r0.apk | 3.0 KiB | 2024-Oct-25 20:11 |
lynis-doc-3.1.1-r0.apk | 49.2 KiB | 2024-Oct-25 20:11 |
lzfse-dev-1.0-r0.apk | 3.4 KiB | 2024-Oct-25 20:11 |
lychee-doc-0.15.1-r0.apk | 11.4 KiB | 2024-Oct-25 20:11 |
m2r2-pyc-0.3.3-r3.apk | 15.6 KiB | 2024-Oct-25 20:11 |
lychee-0.15.1-r0.apk | 5.1 MiB | 2024-Oct-25 20:11 |
lynis-3.1.1-r0.apk | 271.3 KiB | 2024-Oct-25 20:11 |
lua5.4-luastatic-0.0.12-r1.apk | 9.2 KiB | 2024-Oct-25 20:11 |
lua5.2-linenoise-0.9-r1.apk | 18.3 KiB | 2024-Oct-25 20:11 |
lua5.1-libmodbus-0.6.1-r0.apk | 11.5 KiB | 2024-Oct-25 20:11 |
lrcalc-2.1-r1.apk | 11.3 KiB | 2024-Oct-25 20:11 |
lutgen-bash-completion-0.11.2-r0.apk | 1.7 KiB | 2024-Oct-25 20:11 |
lua5.4-linenoise-0.9-r1.apk | 18.2 KiB | 2024-Oct-25 20:11 |
luapak-0.1.0_beta5-r0.apk | 35.3 KiB | 2024-Oct-25 20:11 |
lout-doc-3.42.2-r0.apk | 452.5 KiB | 2024-Oct-25 20:11 |
luacov-0.15.0-r0.apk | 1.5 KiB | 2024-Oct-25 20:11 |
lua5.2-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-Oct-25 20:11 |
lumina-desktop-fm-1.6.2-r0.apk | 425.8 KiB | 2024-Oct-25 20:11 |
lua5.1-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 20:11 |
lua5.1-luastatic-0.0.12-r1.apk | 86.0 KiB | 2024-Oct-25 20:11 |
lsmash-dev-2.14.5-r2.apk | 358.7 KiB | 2024-Oct-25 20:11 |
lua-libmodbus-0.6.1-r0.apk | 1.2 KiB | 2024-Oct-25 20:11 |
lumina-desktop-fileinfo-1.6.2-r0.apk | 174.7 KiB | 2024-Oct-25 20:11 |
lua-editorconfig-0.3.0-r0.apk | 1.2 KiB | 2024-Oct-25 20:11 |
lowjs-1.6.2-r2.apk | 1.3 MiB | 2024-Oct-25 20:11 |
lua-lupa-1.0-r0.apk | 19.6 KiB | 2024-Oct-25 20:11 |
lutgen-0.11.2-r0.apk | 1.6 MiB | 2024-Oct-25 20:11 |
lua5.2-libmodbus-0.6.1-r0.apk | 11.4 KiB | 2024-Oct-25 20:11 |
lxappearance-0.6.3-r3.apk | 29.7 KiB | 2024-Oct-25 20:11 |
lsdvd-doc-0.17-r0.apk | 2.5 KiB | 2024-Oct-25 20:11 |
lottieconverter-doc-0.2_git20231219-r0.apk | 2.4 KiB | 2024-Oct-25 20:11 |
lua5.1-libguestfs-1.52.0-r1.apk | 90.3 KiB | 2024-Oct-25 20:11 |
lumins-0.4.0-r2.apk | 666.9 KiB | 2024-Oct-25 20:11 |
luksmeta-dev-9-r0.apk | 3.1 KiB | 2024-Oct-25 20:11 |
lshell-0.9.18-r11.apk | 36.5 KiB | 2024-Oct-25 20:11 |
lua5.4-lanes-3.16.0-r1.apk | 57.4 KiB | 2024-Oct-25 20:11 |
lua5.3-linenoise-0.9-r1.apk | 18.3 KiB | 2024-Oct-25 20:11 |
lua5.4-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 20:11 |
lua5.3-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-Oct-25 20:11 |
lua-libmodbus-doc-0.6.1-r0.apk | 19.1 KiB | 2024-Oct-25 20:11 |
lumina-desktop-1.6.2-r0.apk | 1.2 KiB | 2024-Oct-25 20:11 |
lsix-1.8.2-r0.apk | 6.5 KiB | 2024-Oct-25 20:11 |
lua-fn-0.1.0-r0.apk | 3.4 KiB | 2024-Oct-25 20:11 |
lua5.3-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 20:11 |
lua5.3-psl-0.3-r0.apk | 6.3 KiB | 2024-Oct-25 20:11 |
lua-resty-redis-0.29-r0.apk | 5.3 KiB | 2024-Oct-25 20:11 |
lua5.2-luastatic-0.0.12-r1.apk | 9.1 KiB | 2024-Oct-25 20:11 |
lua5.3-editorconfig-0.3.0-r0.apk | 4.7 KiB | 2024-Oct-25 20:11 |
lsmash-2.14.5-r2.apk | 291.5 KiB | 2024-Oct-25 20:11 |
lua-lcurses-9.0.0-r0.apk | 1.2 KiB | 2024-Oct-25 20:11 |
lumina-desktop-archiver-1.6.2-r0.apk | 181.8 KiB | 2024-Oct-25 20:11 |
lua-xml-1.1.3-r2.apk | 1.4 KiB | 2024-Oct-25 20:11 |
lotide-openrc-0.15.0-r0.apk | 3.1 KiB | 2024-Oct-25 20:11 |
lumina-desktop-sudo-1.6.2-r0.apk | 107.5 KiB | 2024-Oct-25 20:11 |
lout-3.42.2-r0.apk | 1.4 MiB | 2024-Oct-25 20:11 |
lxappearance-doc-0.6.3-r3.apk | 2.6 KiB | 2024-Oct-25 20:11 |
lutgen-fish-completion-0.11.2-r0.apk | 1.7 KiB | 2024-Oct-25 20:11 |
lua5.3-lanes-3.16.0-r1.apk | 57.6 KiB | 2024-Oct-25 20:11 |
lumina-desktop-core-1.6.2-r0.apk | 9.1 MiB | 2024-Oct-25 20:11 |
lshell-doc-0.9.18-r11.apk | 25.2 KiB | 2024-Oct-25 20:11 |
lumina-desktop-textedit-1.6.2-r0.apk | 210.9 KiB | 2024-Oct-25 20:11 |
lua5.2-editorconfig-0.3.0-r0.apk | 4.7 KiB | 2024-Oct-25 20:11 |
lua-lanes-3.16.0-r1.apk | 1.4 KiB | 2024-Oct-25 20:11 |
lua-psl-0.3-r0.apk | 1.1 KiB | 2024-Oct-25 20:11 |
lua5.1-psl-0.3-r0.apk | 6.4 KiB | 2024-Oct-25 20:11 |
lxappearance-lang-0.6.3-r3.apk | 80.5 KiB | 2024-Oct-25 20:11 |
lshell-pyc-0.9.18-r11.apk | 35.3 KiB | 2024-Oct-25 20:11 |
lutgen-doc-0.11.2-r0.apk | 4.2 KiB | 2024-Oct-25 20:11 |
lumina-desktop-mediaplayer-1.6.2-r0.apk | 216.3 KiB | 2024-Oct-25 20:11 |
luacov-html-1.0.0-r1.apk | 1.2 KiB | 2024-Oct-25 20:11 |
luksmeta-doc-9-r0.apk | 5.5 KiB | 2024-Oct-25 20:11 |
lua5.3-luastatic-0.0.12-r1.apk | 9.1 KiB | 2024-Oct-25 20:11 |
lottieconverter-0.2_git20231219-r0.apk | 13.4 KiB | 2024-Oct-25 20:11 |
lua5.2-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 20:11 |
lowjs-doc-1.6.2-r2.apk | 3.0 KiB | 2024-Oct-25 20:11 |
lua-lut-1.2.1-r0.apk | 88.7 KiB | 2024-Oct-25 20:11 |
lua5.1-lanes-3.16.0-r1.apk | 57.0 KiB | 2024-Oct-25 20:11 |
lua5.1-linenoise-0.9-r1.apk | 18.2 KiB | 2024-Oct-25 20:11 |
lumina-desktop-screenshot-1.6.2-r0.apk | 185.8 KiB | 2024-Oct-25 20:11 |
lua-inet-0.2.0-r1.apk | 9.1 KiB | 2024-Oct-25 20:11 |
lumina-desktop-doc-1.6.2-r0.apk | 11.5 KiB | 2024-Oct-25 20:11 |
lutgen-zsh-completion-0.11.2-r0.apk | 1.6 KiB | 2024-Oct-25 20:11 |
lua5.4-editorconfig-0.3.0-r0.apk | 4.7 KiB | 2024-Oct-25 20:11 |
lua5.1-lcurses-9.0.0-r0.apk | 29.5 KiB | 2024-Oct-25 20:11 |
lua5.2-lanes-3.16.0-r1.apk | 56.8 KiB | 2024-Oct-25 20:11 |
lumina-desktop-coreutils-1.6.2-r0.apk | 885.2 KiB | 2024-Oct-25 20:11 |
lrcalc-dev-2.1-r1.apk | 11.3 KiB | 2024-Oct-25 20:11 |
lua5.2-xml-1.1.3-r2.apk | 23.5 KiB | 2024-Oct-25 20:11 |
lua5.1-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2024-Oct-25 20:11 |
lxappearance-dev-0.6.3-r3.apk | 3.2 KiB | 2024-Oct-25 20:11 |
lotide-0.15.0-r0.apk | 3.4 MiB | 2024-Oct-25 20:11 |
lumina-desktop-photo-1.6.2-r0.apk | 138.4 KiB | 2024-Oct-25 20:11 |
lua-resty-upload-0.11-r0.apk | 3.6 KiB | 2024-Oct-25 20:11 |
lrcalc-libs-2.1-r1.apk | 25.3 KiB | 2024-Oct-25 20:11 |
lua-linenoise-0.9-r1.apk | 1.2 KiB | 2024-Oct-25 20:11 |
lsdvd-0.17-r0.apk | 13.2 KiB | 2024-Oct-25 20:11 |
lua5.1-xml-1.1.3-r2.apk | 23.6 KiB | 2024-Oct-25 20:11 |
luksmeta-9-r0.apk | 13.8 KiB | 2024-Oct-25 20:11 |
lua-luastatic-0.0.12-r1.apk | 1.5 KiB | 2024-Oct-25 20:11 |
lua5.2-psl-0.3-r0.apk | 6.3 KiB | 2024-Oct-25 20:11 |
lol-html-dev-1.1.1-r1.apk | 6.5 KiB | 2024-Oct-25 20:11 |
lolcat-1.4-r0.apk | 10.0 KiB | 2024-Oct-25 20:11 |
litterbox-1.9-r1.apk | 35.8 KiB | 2024-Oct-25 20:11 |
lomiri-filemanager-app-lang-1.0.4-r0.apk | 174.8 KiB | 2024-Oct-25 20:11 |
lomiri-0.3.0-r0.apk | 4.0 MiB | 2024-Oct-25 20:11 |
lomiri-calculator-app-lang-4.0.2-r0.apk | 36.8 KiB | 2024-Oct-25 20:11 |
logc-0.5.0-r0.apk | 8.2 KiB | 2024-Oct-25 20:11 |
logwatch-7.10-r1.apk | 483.5 KiB | 2024-Oct-25 20:11 |
llmnrd-0.7-r1.apk | 16.7 KiB | 2024-Oct-25 20:11 |
llmnrd-openrc-0.7-r1.apk | 1.9 KiB | 2024-Oct-25 20:11 |
listenbrainz-mpd-doc-2.3.8-r0.apk | 14.4 KiB | 2024-Oct-25 20:11 |
lomiri-lang-0.3.0-r0.apk | 253.2 KiB | 2024-Oct-25 20:11 |
log4cpp-1.1.4-r1.apk | 75.5 KiB | 2024-Oct-25 20:11 |
lomiri-sounds-22.02-r0.apk | 18.0 MiB | 2024-Oct-25 20:11 |
llmnrd-doc-0.7-r1.apk | 3.0 KiB | 2024-Oct-25 20:11 |
listenbrainz-mpd-2.3.8-r0.apk | 1.2 MiB | 2024-Oct-25 20:11 |
lomiri-gallery-app-lang-3.0.2-r0.apk | 108.5 KiB | 2024-Oct-25 20:11 |
logtop-doc-0.7-r0.apk | 2.8 KiB | 2024-Oct-25 20:11 |
lol-html-1.1.1-r1.apk | 443.6 KiB | 2024-Oct-25 20:11 |
lomiri-calculator-app-4.0.2-r0.apk | 374.8 KiB | 2024-Oct-25 20:11 |
logtop-0.7-r0.apk | 14.9 KiB | 2024-Oct-25 20:11 |
liquibase-4.9.1-r0.apk | 31.6 MiB | 2024-Oct-25 20:11 |
lomiri-ui-extras-0.6.3-r1.apk | 276.6 KiB | 2024-Oct-25 20:11 |
log4cpp-dev-1.1.4-r1.apk | 38.9 KiB | 2024-Oct-25 20:11 |
logc-libs-0.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 20:11 |
logc-libevent-0.1.0-r0.apk | 3.5 KiB | 2024-Oct-25 20:11 |
listenbrainz-mpd-bash-completion-2.3.8-r0.apk | 2.1 KiB | 2024-Oct-25 20:11 |
listenbrainz-mpd-fish-completion-2.3.8-r0.apk | 1.8 KiB | 2024-Oct-25 20:11 |
logc-czmq-0.1.0-r0.apk | 4.1 KiB | 2024-Oct-25 20:11 |
liquibase-doc-4.9.1-r0.apk | 56.9 KiB | 2024-Oct-25 20:11 |
litterbox-doc-1.9-r1.apk | 7.2 KiB | 2024-Oct-25 20:11 |
lomiri-filemanager-app-1.0.4-r0.apk | 352.9 KiB | 2024-Oct-25 20:11 |
logc-argp-0.5.0-r0.apk | 16.7 KiB | 2024-Oct-25 20:11 |
logc-libs-dev-0.1.0-r0.apk | 5.7 KiB | 2024-Oct-25 20:11 |
lomiri-gallery-app-3.0.2-r0.apk | 3.7 MiB | 2024-Oct-25 20:11 |
lomiri-action-api-1.1.3-r1.apk | 84.8 KiB | 2024-Oct-25 20:11 |
lockrun-1.1.3-r1.apk | 5.3 KiB | 2024-Oct-25 20:11 |
logtop-libs-0.7-r0.apk | 16.1 KiB | 2024-Oct-25 20:11 |
logc-dev-0.5.0-r0.apk | 8.9 KiB | 2024-Oct-25 20:11 |
lomiri-action-api-dev-1.1.3-r1.apk | 5.4 KiB | 2024-Oct-25 20:11 |
lomiri-ui-extras-lang-0.6.3-r1.apk | 55.3 KiB | 2024-Oct-25 20:11 |
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk | 2.1 KiB | 2024-Oct-25 20:11 |
logc-config-0.5.0-r0.apk | 5.3 KiB | 2024-Oct-25 20:11 |
logwatch-doc-7.10-r1.apk | 38.1 KiB | 2024-Oct-25 20:11 |
libwhich-1.2.0-r0.apk | 4.5 KiB | 2024-Oct-25 20:11 |
linphone-libs-5.3.38-r0.apk | 3.2 MiB | 2024-Oct-25 20:11 |
libzn_poly-0.9.2-r2.apk | 50.9 KiB | 2024-Oct-25 20:11 |
libvoikko-dev-4.3.2-r1.apk | 9.9 KiB | 2024-Oct-25 20:11 |
libwbxml-0.11.8-r0.apk | 72.7 KiB | 2024-Oct-25 20:11 |
libvoikko-4.3.2-r1.apk | 129.4 KiB | 2024-Oct-25 20:11 |
licenseheaders-0.8.8-r4.apk | 17.9 KiB | 2024-Oct-25 20:11 |
libwbxml-doc-0.11.8-r0.apk | 28.3 KiB | 2024-Oct-25 20:11 |
libwbxml-dev-0.11.8-r0.apk | 9.0 KiB | 2024-Oct-25 20:11 |
limnoria-20240828-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
libvoikko-doc-4.3.2-r1.apk | 5.7 KiB | 2024-Oct-25 20:11 |
linphone-5.3.38-r0.apk | 9.0 MiB | 2024-Oct-25 20:11 |
limnoria-doc-20240828-r0.apk | 8.4 KiB | 2024-Oct-25 20:11 |
libzn_poly-static-0.9.2-r2.apk | 53.4 KiB | 2024-Oct-25 20:11 |
libwmiclient-dev-1.3.16-r5.apk | 1.7 KiB | 2024-Oct-25 20:11 |
linux-apfs-rw-src-0.3.8-r0.apk | 197.1 KiB | 2024-Oct-25 20:11 |
libzn_poly-dev-0.9.2-r2.apk | 8.2 KiB | 2024-Oct-25 20:11 |
licenseheaders-pyc-0.8.8-r4.apk | 18.4 KiB | 2024-Oct-25 20:11 |
linphone-dev-5.3.38-r0.apk | 249.7 KiB | 2024-Oct-25 20:11 |
linux-timemachine-1.3.2-r0.apk | 5.1 KiB | 2024-Oct-25 20:11 |
libwmiclient-1.3.16-r5.apk | 1.5 KiB | 2024-Oct-25 20:11 |
limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-Oct-25 20:11 |
libtommath-1.2.1-r0.apk | 43.3 KiB | 2024-Oct-25 20:11 |
libretro-tyrquake-0_git20220409-r0.apk | 408.6 KiB | 2024-Oct-25 20:11 |
libvisio2svg-utils-0.5.5-r3.apk | 121.6 KiB | 2024-Oct-25 20:11 |
libretro-scummvm-0_git20210325-r0.apk | 21.0 MiB | 2024-Oct-25 20:11 |
libsirocco-dev-2.1.0-r2.apk | 1.9 KiB | 2024-Oct-25 20:11 |
libtinycbor-0.6.0-r1.apk | 20.4 KiB | 2024-Oct-25 20:11 |
libsymmetrica-static-3.0.1-r2.apk | 5.9 MiB | 2024-Oct-25 20:11 |
libserialport-dev-0.1.1-r1.apk | 37.0 KiB | 2024-Oct-25 20:11 |
libspatialindex-dev-0_git20210205-r1.apk | 22.0 KiB | 2024-Oct-25 20:11 |
libsigrok-dev-0.5.2-r3.apk | 30.7 KiB | 2024-Oct-25 20:11 |
libsirocco-2.1.0-r2.apk | 70.4 KiB | 2024-Oct-25 20:11 |
libsigrokdecode-dev-0.5.3-r4.apk | 38.4 KiB | 2024-Oct-25 20:11 |
libtsm-dev-4.0.2-r1.apk | 9.5 KiB | 2024-Oct-25 20:11 |
libuninameslist-20230916-r0.apk | 367.5 KiB | 2024-Oct-25 20:11 |
libretro-theodore-3.1-r0.apk | 869.1 KiB | 2024-Oct-25 20:11 |
libtommath-dev-1.2.1-r0.apk | 69.3 KiB | 2024-Oct-25 20:11 |
libuninameslist-dev-20230916-r0.apk | 3.5 KiB | 2024-Oct-25 20:11 |
libsigrokdecode-0.5.3-r4.apk | 336.6 KiB | 2024-Oct-25 20:11 |
libvmaf-dev-3.0.0-r0.apk | 218.8 KiB | 2024-Oct-25 20:11 |
libtsm-4.0.2-r1.apk | 25.9 KiB | 2024-Oct-25 20:11 |
libucl-0.9.0-r0.apk | 55.9 KiB | 2024-Oct-25 20:11 |
libuninameslist-doc-20230916-r0.apk | 2.0 KiB | 2024-Oct-25 20:11 |
libtins-doc-4.5-r1.apk | 2.3 KiB | 2024-Oct-25 20:11 |
libsbsms-dev-2.3.0-r0.apk | 122.6 KiB | 2024-Oct-25 20:11 |
libsbsms-2.3.0-r0.apk | 105.7 KiB | 2024-Oct-25 20:11 |
libstirshaken-0_git20240208-r2.apk | 53.6 KiB | 2024-Oct-25 20:11 |
libvisio2svg-0.5.5-r3.apk | 15.3 KiB | 2024-Oct-25 20:11 |
libsemanage-3.6-r1.apk | 96.4 KiB | 2024-Oct-25 20:11 |
libsemanage-doc-3.6-r1.apk | 22.9 KiB | 2024-Oct-25 20:11 |
libvmaf-3.0.0-r0.apk | 370.8 KiB | 2024-Oct-25 20:11 |
libretro-yabause-0_git20210411-r0.apk | 506.5 KiB | 2024-Oct-25 20:11 |
libsemanage-dev-3.6-r1.apk | 141.2 KiB | 2024-Oct-25 20:11 |
libucl-doc-0.9.0-r0.apk | 8.9 KiB | 2024-Oct-25 20:11 |
libsigrok-0.5.2-r3.apk | 506.2 KiB | 2024-Oct-25 20:11 |
libserialport-0.1.1-r1.apk | 21.6 KiB | 2024-Oct-25 20:11 |
libsds-dev-2.0.0-r1.apk | 3.8 KiB | 2024-Oct-25 20:11 |
libsymmetrica-3.0.1-r2.apk | 4.4 MiB | 2024-Oct-25 20:11 |
libsds-2.0.0-r1.apk | 10.4 KiB | 2024-Oct-25 20:11 |
libretro-xrick-0_git20220331-r0.apk | 124.0 KiB | 2024-Oct-25 20:11 |
libtins-dev-4.5-r1.apk | 141.3 KiB | 2024-Oct-25 20:11 |
libucl-dev-0.9.0-r0.apk | 82.0 KiB | 2024-Oct-25 20:11 |
libtins-4.5-r1.apk | 356.8 KiB | 2024-Oct-25 20:11 |
libvisio2svg-dev-0.5.5-r3.apk | 2.9 KiB | 2024-Oct-25 20:11 |
libstirshaken-tools-0_git20240208-r2.apk | 158.5 KiB | 2024-Oct-25 20:11 |
libupstart-2.0.3-r5.apk | 54.1 KiB | 2024-Oct-25 20:11 |
libsymmetrica-dev-3.0.1-r2.apk | 32.1 KiB | 2024-Oct-25 20:11 |
libvdpau-va-gl-0.4.2-r0.apk | 62.4 KiB | 2024-Oct-25 20:11 |
libspatialindex-0_git20210205-r1.apk | 333.8 KiB | 2024-Oct-25 20:11 |
libretro-snes9x-0_git20240819-r0.apk | 612.9 KiB | 2024-Oct-25 20:11 |
libstirshaken-dev-0_git20240208-r2.apk | 78.1 KiB | 2024-Oct-25 20:11 |
libretro-crocods-0_git20210314-r1.apk | 281.2 KiB | 2024-Oct-25 20:11 |
libretro-mame2000-0_git20240701-r0.apk | 2.8 MiB | 2024-Oct-25 20:11 |
libretro-freeintv-0_git20220319-r0.apk | 36.9 KiB | 2024-Oct-25 20:11 |
libnbcompat-dev-1.0.2-r0.apk | 66.6 KiB | 2024-Oct-25 20:11 |
libmpfi-1.5.4-r2.apk | 40.1 KiB | 2024-Oct-25 20:11 |
libretro-pcsx-rearmed-0_git20220409-r0.apk | 546.3 KiB | 2024-Oct-25 20:11 |
libretro-beetle-pcfx-0_git20220409-r0.apk | 326.2 KiB | 2024-Oct-25 20:11 |
liboggz-1.1.1-r2.apk | 101.2 KiB | 2024-Oct-25 20:11 |
libntl-dev-11.5.1-r4.apk | 158.7 KiB | 2024-Oct-25 20:11 |
libmhash-dev-0.9.9.9-r3.apk | 109.7 KiB | 2024-Oct-25 20:11 |
libretro-genesis-plus-gx-0_git20230503-r0.apk | 717.2 KiB | 2024-Oct-25 20:11 |
libretro-atari800-0_git20240924-r0.apk | 285.8 KiB | 2024-Oct-25 20:11 |
libofx-dev-0.10.9-r1.apk | 19.6 KiB | 2024-Oct-25 20:11 |
libqd-doc-2.3.24-r0.apk | 182.5 KiB | 2024-Oct-25 20:11 |
libqd-dev-2.3.24-r0.apk | 57.8 KiB | 2024-Oct-25 20:11 |
libretro-cannonball-0_git20220309-r6.apk | 248.1 KiB | 2024-Oct-25 20:11 |
libretro-openlara-0_git20210121-r0.apk | 501.3 KiB | 2024-Oct-25 20:11 |
libretro-frodo-0_git20221221-r0.apk | 172.2 KiB | 2024-Oct-25 20:11 |
libreoffice-voikko-5.0_git20200127-r0.apk | 45.4 KiB | 2024-Oct-25 20:11 |
libretro-fbneo-0_git20220416-r0.apk | 10.4 MiB | 2024-Oct-25 20:11 |
liboggz-doc-1.1.1-r2.apk | 134.2 KiB | 2024-Oct-25 20:11 |
libmygpo-qt-dev-1.1.0-r2.apk | 11.8 KiB | 2024-Oct-25 20:11 |
libraqm-dev-0.10.2-r0.apk | 4.5 KiB | 2024-Oct-25 20:11 |
libretro-parallel-n64-0_git20220406-r0.apk | 827.0 KiB | 2024-Oct-25 20:11 |
libnbcompat-1.0.2-r0.apk | 33.7 KiB | 2024-Oct-25 20:11 |
libretro-beetle-supergrafx-0_git20220218-r0.apk | 375.5 KiB | 2024-Oct-25 20:11 |
libntl-doc-11.5.1-r4.apk | 373.6 KiB | 2024-Oct-25 20:11 |
libnih-doc-1.0.3-r7.apk | 2.7 KiB | 2024-Oct-25 20:11 |
libqd-static-2.3.24-r0.apk | 251.3 KiB | 2024-Oct-25 20:11 |
libnxml-dev-0.18.3-r0.apk | 29.5 KiB | 2024-Oct-25 20:11 |
libretro-mu-0_git20220317-r0.apk | 189.2 KiB | 2024-Oct-25 20:11 |
libretro-neocd-0_git20220325-r1.apk | 519.6 KiB | 2024-Oct-25 20:11 |
libraqm-0.10.2-r0.apk | 11.2 KiB | 2024-Oct-25 20:11 |
libretro-cap32-0_git20220419-r0.apk | 303.3 KiB | 2024-Oct-25 20:11 |
libppl-1.2-r1.apk | 546.5 KiB | 2024-Oct-25 20:11 |
libntl-11.5.1-r4.apk | 1.2 MiB | 2024-Oct-25 20:11 |
libretro-beetle-saturn-0_git20220417-r0.apk | 1.6 MiB | 2024-Oct-25 20:11 |
libretro-daphne-0_git20210108-r2.apk | 617.2 KiB | 2024-Oct-25 20:11 |
libretro-mame2003-0_git20240904-r0.apk | 6.8 MiB | 2024-Oct-25 20:11 |
libretro-beetle-pce-fast-0_git20220205-r0.apk | 363.3 KiB | 2024-Oct-25 20:11 |
libmpfi-doc-1.5.4-r2.apk | 18.7 KiB | 2024-Oct-25 20:11 |
libretro-nxengine-0_git20220301-r0.apk | 308.2 KiB | 2024-Oct-25 20:11 |
libretro-ppsspp-0_git20210516-r14.apk | 2.3 MiB | 2024-Oct-25 20:11 |
libretro-pocketcdg-0_git20220327-r0.apk | 88.7 KiB | 2024-Oct-25 20:11 |
libntl-static-11.5.1-r4.apk | 1.7 MiB | 2024-Oct-25 20:11 |
libmhash-doc-0.9.9.9-r3.apk | 8.2 KiB | 2024-Oct-25 20:11 |
libretro-fuse-0_git20220417-r0.apk | 902.9 KiB | 2024-Oct-25 20:11 |
libmpfi-static-1.5.4-r2.apk | 55.5 KiB | 2024-Oct-25 20:11 |
liboggz-dev-1.1.1-r2.apk | 162.3 KiB | 2024-Oct-25 20:11 |
libnih-1.0.3-r7.apk | 107.8 KiB | 2024-Oct-25 20:11 |
libretro-gme-0_git20240628-r0.apk | 198.0 KiB | 2024-Oct-25 20:11 |
libnfc-doc-1.8.0-r1.apk | 22.0 KiB | 2024-Oct-25 20:11 |
libmustache-0.5.0-r1.apk | 88.7 KiB | 2024-Oct-25 20:11 |
libretro-bluemsx-0_git20240808-r0.apk | 685.4 KiB | 2024-Oct-25 20:11 |
libqd-2.3.24-r0.apk | 176.9 KiB | 2024-Oct-25 20:11 |
libnfc-1.8.0-r1.apk | 55.2 KiB | 2024-Oct-25 20:11 |
libmrss-dev-0.19.2-r1.apk | 33.1 KiB | 2024-Oct-25 20:11 |
libofx-tools-0.10.9-r1.apk | 105.7 KiB | 2024-Oct-25 20:11 |
libretro-dinothawr-0_git20220401-r0.apk | 144.6 KiB | 2024-Oct-25 20:11 |
libmedc-python-pyc-4.1.1-r4.apk | 475.4 KiB | 2024-Oct-25 20:11 |
libmrss-0.19.2-r1.apk | 21.8 KiB | 2024-Oct-25 20:11 |
libnxml-0.18.3-r0.apk | 21.8 KiB | 2024-Oct-25 20:11 |
libnih-dev-1.0.3-r7.apk | 110.4 KiB | 2024-Oct-25 20:11 |
libnfc-dev-1.8.0-r1.apk | 7.9 KiB | 2024-Oct-25 20:11 |
libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
libmedc-doc-4.1.1-r4.apk | 40.5 MiB | 2024-Oct-25 20:11 |
libppl_c-1.2-r1.apk | 1.7 MiB | 2024-Oct-25 20:11 |
libretro-gong-0_git20220319-r0.apk | 8.0 KiB | 2024-Oct-25 20:11 |
libmygpo-qt-1.1.0-r2.apk | 92.0 KiB | 2024-Oct-25 20:11 |
libnfc-tools-1.8.0-r1.apk | 58.1 KiB | 2024-Oct-25 20:11 |
libofx-0.10.9-r1.apk | 68.4 KiB | 2024-Oct-25 20:11 |
libmhash-0.9.9.9-r3.apk | 97.9 KiB | 2024-Oct-25 20:11 |
libretro-opera-0_git20211214-r0.apk | 191.2 KiB | 2024-Oct-25 20:11 |
libmedc-python-4.1.1-r4.apk | 1.8 MiB | 2024-Oct-25 20:11 |
libraqm-doc-0.10.2-r0.apk | 21.1 KiB | 2024-Oct-25 20:11 |
libretro-gw-0_git20220410-r0.apk | 192.6 KiB | 2024-Oct-25 20:11 |
libmpfi-dev-1.5.4-r2.apk | 5.4 KiB | 2024-Oct-25 20:11 |
libmysofa-dev-1.3.2-r0.apk | 7.0 KiB | 2024-Oct-25 20:11 |
libretro-picodrive-0_git20220405-r0.apk | 499.6 KiB | 2024-Oct-25 20:11 |
libmysofa-1.3.2-r0.apk | 27.9 KiB | 2024-Oct-25 20:11 |
libgdcm-3.0.24-r0.apk | 2.6 MiB | 2024-Oct-25 20:11 |
libgrapheme-doc-1-r0.apk | 8.0 KiB | 2024-Oct-25 20:11 |
liberasurecode-dev-1.6.3-r1.apk | 18.3 KiB | 2024-Oct-25 20:11 |
libguestfs-dev-1.52.0-r1.apk | 29.3 KiB | 2024-Oct-25 20:11 |
libcyaml-static-1.4.2-r0.apk | 26.9 KiB | 2024-Oct-25 20:11 |
libguestfs-doc-1.52.0-r1.apk | 559.8 KiB | 2024-Oct-25 20:11 |
libmdf-dev-1.0.29-r0.apk | 13.8 KiB | 2024-Oct-25 20:11 |
libcotp-3.1.0-r0.apk | 9.0 KiB | 2024-Oct-25 20:11 |
libiscsi-dev-1.19.0-r2.apk | 20.4 KiB | 2024-Oct-25 20:11 |
libcorkipset-tools-1.1.1-r4.apk | 11.5 KiB | 2024-Oct-25 20:11 |
libcork-tools-0.15.0-r7.apk | 4.6 KiB | 2024-Oct-25 20:11 |
libiio-tools-0.25-r2.apk | 73.5 KiB | 2024-Oct-25 20:11 |
libcyaml-doc-1.4.2-r0.apk | 8.6 KiB | 2024-Oct-25 20:11 |
libhwpwm-dev-0.4.4-r0.apk | 5.9 KiB | 2024-Oct-25 20:11 |
libhwpwm-0.4.4-r0.apk | 6.1 KiB | 2024-Oct-25 20:11 |
libiscsi-static-1.19.0-r2.apk | 71.0 KiB | 2024-Oct-25 20:11 |
libiio-0.25-r2.apk | 53.3 KiB | 2024-Oct-25 20:11 |
libemf2svg-1.1.0-r2.apk | 176.6 KiB | 2024-Oct-25 20:11 |
libgivaro-static-4.2.0-r2.apk | 96.6 KiB | 2024-Oct-25 20:11 |
liberasurecode-1.6.3-r1.apk | 40.4 KiB | 2024-Oct-25 20:11 |
libmedc-4.1.1-r4.apk | 556.4 KiB | 2024-Oct-25 20:11 |
libecap-1.0.1-r1.apk | 13.7 KiB | 2024-Oct-25 20:11 |
libiio-pyc-0.25-r2.apk | 21.0 KiB | 2024-Oct-25 20:11 |
libibumad-1.3.10.2-r3.apk | 15.0 KiB | 2024-Oct-25 20:11 |
libctl-doc-4.5.1-r1.apk | 3.0 KiB | 2024-Oct-25 20:11 |
libecap-static-1.0.1-r1.apk | 17.2 KiB | 2024-Oct-25 20:11 |
libmdf-1.0.29-r0.apk | 37.9 KiB | 2024-Oct-25 20:11 |
libfishsound-doc-1.0.0-r1.apk | 75.3 KiB | 2024-Oct-25 20:11 |
libctl-4.5.1-r1.apk | 93.3 KiB | 2024-Oct-25 20:11 |
libcorkipset-dev-1.1.1-r4.apk | 8.1 KiB | 2024-Oct-25 20:11 |
liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.6 KiB | 2024-Oct-25 20:11 |
libhomfly-dev-1.02_p6-r1.apk | 20.2 KiB | 2024-Oct-25 20:11 |
libibumad-dev-1.3.10.2-r3.apk | 7.6 KiB | 2024-Oct-25 20:11 |
libjodycode-doc-3.1.1-r0.apk | 3.7 KiB | 2024-Oct-25 20:11 |
libmdbx-dev-0.11.8-r0.apk | 93.2 KiB | 2024-Oct-25 20:11 |
libcotp-dev-3.1.0-r0.apk | 2.5 KiB | 2024-Oct-25 20:11 |
libctl-dev-4.5.1-r1.apk | 38.6 KiB | 2024-Oct-25 20:11 |
libfyaml-dev-0.9-r0.apk | 42.4 KiB | 2024-Oct-25 20:11 |
libiml-dev-1.0.5-r3.apk | 3.9 KiB | 2024-Oct-25 20:11 |
libcyaml-1.4.2-r0.apk | 22.9 KiB | 2024-Oct-25 20:11 |
libjodycode-dev-3.1.1-r0.apk | 4.2 KiB | 2024-Oct-25 20:11 |
libibmad-dev-1.3.13-r2.apk | 13.0 KiB | 2024-Oct-25 20:11 |
libmdbx-dbg-0.11.8-r0.apk | 2.6 MiB | 2024-Oct-25 20:11 |
libgivaro-dev-4.2.0-r2.apk | 244.4 KiB | 2024-Oct-25 20:11 |
libiio-doc-0.25-r2.apk | 17.9 KiB | 2024-Oct-25 20:11 |
libguestfs-1.52.0-r1.apk | 318.2 KiB | 2024-Oct-25 20:11 |
libmdbx-0.11.8-r0.apk | 899.3 KiB | 2024-Oct-25 20:11 |
libcyaml-dev-1.4.2-r0.apk | 12.9 KiB | 2024-Oct-25 20:11 |
libiscsi-utils-1.19.0-r2.apk | 87.9 KiB | 2024-Oct-25 20:11 |
libfishsound-dev-1.0.0-r1.apk | 57.1 KiB | 2024-Oct-25 20:11 |
libfort-0.4.2-r0.apk | 31.3 KiB | 2024-Oct-25 20:11 |
libmedc-dev-4.1.1-r4.apk | 37.5 KiB | 2024-Oct-25 20:11 |
libcorkipset-1.1.1-r4.apk | 14.1 KiB | 2024-Oct-25 20:11 |
libeantic-dev-2.0.2-r1.apk | 17.6 KiB | 2024-Oct-25 20:11 |
libecap-dev-1.0.1-r1.apk | 11.4 KiB | 2024-Oct-25 20:11 |
libhomfly-1.02_p6-r1.apk | 16.3 KiB | 2024-Oct-25 20:11 |
libettercap-0.8.3.1-r3.apk | 199.5 KiB | 2024-Oct-25 20:11 |
libiscsi-doc-1.19.0-r2.apk | 9.3 KiB | 2024-Oct-25 20:11 |
libhwpwm-doc-0.4.4-r0.apk | 13.0 KiB | 2024-Oct-25 20:11 |
libfort-dev-0.4.2-r0.apk | 17.4 KiB | 2024-Oct-25 20:11 |
libecm-7.0.5-r1.apk | 240.4 KiB | 2024-Oct-25 20:11 |
libiscsi-1.19.0-r2.apk | 60.0 KiB | 2024-Oct-25 20:11 |
libfishsound-1.0.0-r1.apk | 10.4 KiB | 2024-Oct-25 20:11 |
libiml-static-1.0.5-r3.apk | 82.3 KiB | 2024-Oct-25 20:11 |
libgrapheme-1-r0.apk | 9.9 KiB | 2024-Oct-25 20:11 |
libiio-dev-0.25-r2.apk | 13.4 KiB | 2024-Oct-25 20:11 |
liblastfm-qt-1.1.10_git20190823-r3.apk | 176.1 KiB | 2024-Oct-25 20:11 |
libgivaro-4.2.0-r2.apk | 82.7 KiB | 2024-Oct-25 20:11 |
libfyaml-doc-0.9-r0.apk | 7.4 KiB | 2024-Oct-25 20:11 |
libibumad-doc-1.3.10.2-r3.apk | 23.2 KiB | 2024-Oct-25 20:11 |
libcork-dev-0.15.0-r7.apk | 30.0 KiB | 2024-Oct-25 20:11 |
libeantic-2.0.2-r1.apk | 84.6 KiB | 2024-Oct-25 20:11 |
libiml-1.0.5-r3.apk | 81.1 KiB | 2024-Oct-25 20:11 |
libcork-0.15.0-r7.apk | 36.4 KiB | 2024-Oct-25 20:11 |
libfoma-0.10.0_git20240712-r0.apk | 105.1 KiB | 2024-Oct-25 20:11 |
libgrapheme-dev-1-r0.apk | 10.0 KiB | 2024-Oct-25 20:11 |
libguestfs-static-1.52.0-r1.apk | 446.7 KiB | 2024-Oct-25 20:11 |
libfyaml-0.9-r0.apk | 292.9 KiB | 2024-Oct-25 20:11 |
libibmad-1.3.13-r2.apk | 30.5 KiB | 2024-Oct-25 20:11 |
libemf2svg-utils-1.1.0-r2.apk | 19.0 KiB | 2024-Oct-25 20:11 |
libjodycode-3.1.1-r0.apk | 7.8 KiB | 2024-Oct-25 20:11 |
libmdbx-doc-0.11.8-r0.apk | 8.9 KiB | 2024-Oct-25 20:11 |
libaudec-static-0.3.4-r3.apk | 32.9 KiB | 2024-Oct-25 20:11 |
libcli-1.10.7-r0.apk | 32.1 KiB | 2024-Oct-25 20:11 |
libabigail-2.3-r0.apk | 924.8 KiB | 2024-Oct-25 20:11 |
libarb-dev-2.23.0-r2.apk | 53.9 KiB | 2024-Oct-25 20:11 |
libantlr3c-dev-3.4-r3.apk | 58.4 KiB | 2024-Oct-25 20:11 |
lazymc-openrc-0.2.11-r0.apk | 2.0 KiB | 2024-Oct-25 20:11 |
libbsoncxx-3.8.0-r0.apk | 47.9 KiB | 2024-Oct-25 20:11 |
libb64-2.0.0.1-r0.apk | 4.7 KiB | 2024-Oct-25 20:11 |
libbamf-dev-0.5.6-r1.apk | 6.4 KiB | 2024-Oct-25 20:11 |
lazymc-0.2.11-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
leptosfmt-0.1.18-r0.apk | 880.6 KiB | 2024-Oct-25 20:11 |
libbamf-doc-0.5.6-r1.apk | 31.1 KiB | 2024-Oct-25 20:11 |
libaudec-dev-0.3.4-r3.apk | 4.3 KiB | 2024-Oct-25 20:11 |
lfm-doc-3.1-r4.apk | 2.8 KiB | 2024-Oct-25 20:11 |
ldapdomaindump-0.9.4-r1.apk | 18.3 KiB | 2024-Oct-25 20:11 |
libabigail-doc-2.3-r0.apk | 61.2 KiB | 2024-Oct-25 20:11 |
kubesplit-pyc-0.3.3-r1.apk | 13.0 KiB | 2024-Oct-25 20:11 |
libarb-static-2.23.0-r2.apk | 2.1 MiB | 2024-Oct-25 20:11 |
kubesplit-0.3.3-r1.apk | 12.7 KiB | 2024-Oct-25 20:11 |
lfm-pyc-3.1-r4.apk | 133.7 KiB | 2024-Oct-25 20:11 |
ledmon-doc-1.0.0-r0.apk | 14.1 KiB | 2024-Oct-25 20:11 |
libantlr3c-3.4-r3.apk | 54.6 KiB | 2024-Oct-25 20:11 |
libarb-2.23.0-r2.apk | 1.7 MiB | 2024-Oct-25 20:11 |
levmar-dev-2.6-r0.apk | 48.3 KiB | 2024-Oct-25 20:11 |
libb64-doc-2.0.0.1-r0.apk | 8.0 KiB | 2024-Oct-25 20:11 |
libabigail-tools-2.3-r0.apk | 113.3 KiB | 2024-Oct-25 20:11 |
libabigail-dev-2.3-r0.apk | 1.3 MiB | 2024-Oct-25 20:11 |
ledmon-1.0.0-r0.apk | 81.2 KiB | 2024-Oct-25 20:11 |
libbamf-0.5.6-r1.apk | 151.5 KiB | 2024-Oct-25 20:11 |
libabigail-bash-completion-2.3-r0.apk | 3.0 KiB | 2024-Oct-25 20:11 |
leptosfmt-doc-0.1.18-r0.apk | 6.2 KiB | 2024-Oct-25 20:11 |
libaudec-tools-0.3.4-r3.apk | 30.4 KiB | 2024-Oct-25 20:11 |
ldapdomaindump-pyc-0.9.4-r1.apk | 30.7 KiB | 2024-Oct-25 20:11 |
libaudec-0.3.4-r3.apk | 30.1 KiB | 2024-Oct-25 20:11 |
libbsoncxx-dev-3.8.0-r0.apk | 38.7 KiB | 2024-Oct-25 20:11 |
libb64-dev-2.0.0.1-r0.apk | 6.2 KiB | 2024-Oct-25 20:11 |
lfm-3.1-r4.apk | 88.1 KiB | 2024-Oct-25 20:11 |
libantic-0.2.5-r0.apk | 49.4 KiB | 2024-Oct-25 20:11 |
libantic-dev-0.2.5-r0.apk | 6.3 KiB | 2024-Oct-25 20:11 |
ktx-libs-4.3.2-r0.apk | 1.4 MiB | 2024-Oct-25 20:11 |
ktx-dev-4.3.2-r0.apk | 29.2 KiB | 2024-Oct-25 20:11 |
krita-plugin-gmic-3.2.4.1-r3.apk | 2.8 MiB | 2024-Oct-25 20:11 |
ktx-4.3.2-r0.apk | 1.3 MiB | 2024-Oct-25 20:11 |
kondo-0.8-r0.apk | 686.1 KiB | 2024-Oct-25 20:11 |
kondo-zsh-completion-0.8-r0.apk | 2.5 KiB | 2024-Oct-25 20:11 |
kondo-fish-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-25 20:11 |
klevernotes-1.1.0-r0.apk | 2.6 MiB | 2024-Oct-25 20:11 |
klevernotes-lang-1.1.0-r0.apk | 142.1 KiB | 2024-Oct-25 20:11 |
kmscon-9.0.0-r0.apk | 800.0 KiB | 2024-Oct-25 20:11 |
kjv-0_git20221103-r0.apk | 1.5 MiB | 2024-Oct-25 20:11 |
kondo-bash-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-25 20:11 |
kmscon-doc-9.0.0-r0.apk | 7.7 KiB | 2024-Oct-25 20:11 |
keystone-dev-0.9.2-r6.apk | 7.3 KiB | 2024-Oct-25 20:11 |
kgraphviewer-2.5.0-r0.apk | 1.3 MiB | 2024-Oct-25 20:11 |
keystone-0.9.2-r6.apk | 1.4 MiB | 2024-Oct-25 20:11 |
keystone-python-0.9.2-r6.apk | 1.7 MiB | 2024-Oct-25 20:11 |
kerberoast-0.2.0-r1.apk | 9.6 KiB | 2024-Oct-25 20:11 |
kdiskmark-3.1.4-r1.apk | 171.6 KiB | 2024-Oct-25 20:11 |
kbs2-0.7.2-r3.apk | 1.0 MiB | 2024-Oct-25 20:11 |
kbs2-fish-completion-0.7.2-r3.apk | 3.2 KiB | 2024-Oct-25 20:11 |
kgraphviewer-dev-2.5.0-r0.apk | 6.3 KiB | 2024-Oct-25 20:11 |
khronos-lang-4.0.1-r0.apk | 25.7 KiB | 2024-Oct-25 20:11 |
kdiskmark-lang-3.1.4-r1.apk | 26.7 KiB | 2024-Oct-25 20:11 |
keystone-python-pyc-0.9.2-r6.apk | 9.7 KiB | 2024-Oct-25 20:11 |
kbs2-bash-completion-0.7.2-r3.apk | 3.1 KiB | 2024-Oct-25 20:11 |
kbs2-zsh-completion-0.7.2-r3.apk | 4.0 KiB | 2024-Oct-25 20:11 |
khronos-4.0.1-r0.apk | 55.6 KiB | 2024-Oct-25 20:11 |
kfc-0.1.4-r0.apk | 57.5 KiB | 2024-Oct-25 20:11 |
keepassxc-browser-1.8.9-r0.apk | 876.5 KiB | 2024-Oct-25 20:11 |
kerberoast-pyc-0.2.0-r1.apk | 15.2 KiB | 2024-Oct-25 20:11 |
kgraphviewer-lang-2.5.0-r0.apk | 230.4 KiB | 2024-Oct-25 20:11 |
kannel-dev-1.5.0-r11.apk | 888.0 KiB | 2024-Oct-25 20:11 |
kannel-1.5.0-r11.apk | 6.1 MiB | 2024-Oct-25 20:11 |
kannel-doc-1.5.0-r11.apk | 6.2 KiB | 2024-Oct-25 20:11 |
java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2024-Oct-25 20:11 |
kalker-2.2.1-r0.apk | 660.4 KiB | 2024-Oct-25 20:11 |
k2-0_git20220807-r1.apk | 98.3 KiB | 2024-Oct-25 20:11 |
jami-qt-doc-20230925-r0.apk | 2.7 KiB | 2024-Oct-25 20:11 |
java-jtharness-doc-6.0_p12-r0.apk | 11.6 KiB | 2024-Oct-25 20:11 |
jdebp-redo-1.4-r1.apk | 104.2 KiB | 2024-Oct-25 20:11 |
json2tsv-jaq-1.2-r0.apk | 1.9 KiB | 2024-Oct-25 20:11 |
jhead-3.08-r0.apk | 32.1 KiB | 2024-Oct-25 20:11 |
java-jtharness-examples-6.0_p12-r0.apk | 218.9 KiB | 2024-Oct-25 20:11 |
json2tsv-jaq-doc-1.2-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
jhead-doc-3.08-r0.apk | 7.9 KiB | 2024-Oct-25 20:11 |
jdupes-doc-1.28.0-r0.apk | 9.0 KiB | 2024-Oct-25 20:11 |
kabmat-2.7.0-r0.apk | 61.4 KiB | 2024-Oct-25 20:11 |
jsmn-1.1.0-r2.apk | 4.7 KiB | 2024-Oct-25 20:11 |
jbigkit-2.1-r2.apk | 62.9 KiB | 2024-Oct-25 20:11 |
jami-qt-lang-20230925-r0.apk | 2.3 MiB | 2024-Oct-25 20:11 |
jami-qt-20230925-r0.apk | 13.7 MiB | 2024-Oct-25 20:11 |
jdupes-1.28.0-r0.apk | 29.3 KiB | 2024-Oct-25 20:11 |
junit2html-pyc-31.0.2-r0.apk | 23.6 KiB | 2024-Oct-25 20:11 |
java-gdcm-3.0.24-r0.apk | 644.4 KiB | 2024-Oct-25 20:11 |
jdebp-redo-doc-1.4-r1.apk | 12.1 KiB | 2024-Oct-25 20:11 |
kabmat-doc-2.7.0-r0.apk | 3.5 KiB | 2024-Oct-25 20:11 |
json2tsv-doc-1.2-r0.apk | 5.2 KiB | 2024-Oct-25 20:11 |
json2tsv-1.2-r0.apk | 6.9 KiB | 2024-Oct-25 20:11 |
junit2html-31.0.2-r0.apk | 16.7 KiB | 2024-Oct-25 20:11 |
jbigkit-doc-2.1-r2.apk | 7.3 KiB | 2024-Oct-25 20:11 |
jbigkit-dev-2.1-r2.apk | 29.7 KiB | 2024-Oct-25 20:11 |
jrsonnet-cli-0.4.2-r1.apk | 570.9 KiB | 2024-Oct-25 20:11 |
iprange-1.0.4-r1.apk | 20.7 KiB | 2024-Oct-25 20:11 |
imrsh-dbg-0_git20210320-r1.apk | 18.2 KiB | 2024-Oct-25 20:11 |
innernet-zsh-completion-1.6.1-r0.apk | 5.5 KiB | 2024-Oct-25 20:11 |
icesprog-udev-0_git20240108-r1.apk | 1.9 KiB | 2024-Oct-25 20:11 |
irccd-4.0.3-r0.apk | 268.1 KiB | 2024-Oct-25 20:11 |
icingaweb2-module-pnp-1.1.0-r1.apk | 8.9 KiB | 2024-Oct-25 20:11 |
jackdaw-0.3.1-r1.apk | 2.0 MiB | 2024-Oct-25 20:11 |
iprange-doc-1.0.4-r1.apk | 4.5 KiB | 2024-Oct-25 20:11 |
imapfilter-2.8.2-r0.apk | 44.0 KiB | 2024-Oct-25 20:11 |
it87-src-1_p20240609-r0.apk | 29.7 KiB | 2024-Oct-25 20:11 |
imediff-doc-2.6-r1.apk | 6.5 KiB | 2024-Oct-25 20:11 |
jalv-gtk-1.6.8-r1.apk | 34.6 KiB | 2024-Oct-25 20:11 |
innernet-openrc-1.6.1-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
ip2location-dev-8.6.1-r0.apk | 12.1 KiB | 2024-Oct-25 20:11 |
jack_capture-0.9.73_git20210429-r2.apk | 33.9 KiB | 2024-Oct-25 20:11 |
irctk-doc-1.1.0-r0.apk | 16.3 KiB | 2024-Oct-25 20:11 |
icmake-doc-9.03.01-r0.apk | 127.4 KiB | 2024-Oct-25 20:11 |
jackline-0.1.0-r3.apk | 3.7 MiB | 2024-Oct-25 20:11 |
jackdaw-pyc-0.3.1-r1.apk | 370.3 KiB | 2024-Oct-25 20:11 |
igrep-1.2.0-r0.apk | 1.6 MiB | 2024-Oct-25 20:11 |
jalv-1.6.8-r1.apk | 52.1 KiB | 2024-Oct-25 20:11 |
icesprog-0_git20240108-r1.apk | 8.9 KiB | 2024-Oct-25 20:11 |
irctk-1.1.0-r0.apk | 29.3 KiB | 2024-Oct-25 20:11 |
innernet-fish-completion-1.6.1-r0.apk | 4.5 KiB | 2024-Oct-25 20:11 |
isomd5sum-doc-1.2.3-r3.apk | 3.0 KiB | 2024-Oct-25 20:11 |
innernet-doc-1.6.1-r0.apk | 9.0 KiB | 2024-Oct-25 20:11 |
irctk-transport-fossil-1.1.0-r0.apk | 15.2 KiB | 2024-Oct-25 20:11 |
ip2location-8.6.1-r0.apk | 25.4 KiB | 2024-Oct-25 20:11 |
isomd5sum-1.2.3-r3.apk | 23.5 KiB | 2024-Oct-25 20:11 |
imrsh-0_git20210320-r1.apk | 9.4 KiB | 2024-Oct-25 20:11 |
imediff-2.6-r1.apk | 41.6 KiB | 2024-Oct-25 20:11 |
interception-tools-openrc-0.6.8-r2.apk | 1.7 KiB | 2024-Oct-25 20:11 |
ip2location-doc-8.6.1-r0.apk | 2.7 KiB | 2024-Oct-25 20:11 |
igrep-doc-1.2.0-r0.apk | 4.2 KiB | 2024-Oct-25 20:11 |
innernet-bash-completion-1.6.1-r0.apk | 3.8 KiB | 2024-Oct-25 20:11 |
irccd-dev-4.0.3-r0.apk | 9.6 KiB | 2024-Oct-25 20:11 |
irccd-openrc-4.0.3-r0.apk | 1.8 KiB | 2024-Oct-25 20:11 |
imapfilter-doc-2.8.2-r0.apk | 12.8 KiB | 2024-Oct-25 20:11 |
icmake-9.03.01-r0.apk | 127.1 KiB | 2024-Oct-25 20:11 |
innernet-1.6.1-r0.apk | 2.7 MiB | 2024-Oct-25 20:11 |
jalv-doc-1.6.8-r1.apk | 3.2 KiB | 2024-Oct-25 20:11 |
imediff-pyc-2.6-r1.apk | 43.7 KiB | 2024-Oct-25 20:11 |
icestorm-0_git20240517-r0.apk | 16.5 MiB | 2024-Oct-25 20:11 |
irccd-doc-4.0.3-r0.apk | 80.4 KiB | 2024-Oct-25 20:11 |
isoinfo-0_git20131217-r1.apk | 6.8 KiB | 2024-Oct-25 20:11 |
idesk-1-r1.apk | 77.4 KiB | 2024-Oct-25 20:11 |
icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1.5 KiB | 2024-Oct-25 20:11 |
interception-tools-0.6.8-r2.apk | 112.8 KiB | 2024-Oct-25 20:11 |
initify-0_git20171210-r1.apk | 3.3 KiB | 2024-Oct-25 20:11 |
htmlcxx-0.87-r1.apk | 65.6 KiB | 2024-Oct-25 20:11 |
i2util-4.2.1-r1.apk | 17.0 KiB | 2024-Oct-25 20:11 |
hopalong-0.1-r3.apk | 25.6 KiB | 2024-Oct-25 20:11 |
httrack-3.49.2-r5.apk | 748.5 KiB | 2024-Oct-25 20:11 |
httpie-oauth-1.0.2-r9.apk | 3.4 KiB | 2024-Oct-25 20:11 |
htslib-1.19-r0.apk | 397.5 KiB | 2024-Oct-25 20:11 |
hpnssh-doc-18.4.1-r0.apk | 98.2 KiB | 2024-Oct-25 20:11 |
hyx-doc-2024.02.29-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
horizon-image-0.9.6-r9.apk | 73.1 KiB | 2024-Oct-25 20:11 |
hikari-unlocker-2.3.3-r6.apk | 4.1 KiB | 2024-Oct-25 20:11 |
horizon-dbg-0.9.6-r9.apk | 3.9 MiB | 2024-Oct-25 20:11 |
hfst-dev-3.16.0-r2.apk | 212.5 KiB | 2024-Oct-25 20:11 |
hx-doc-1.0.15-r0.apk | 4.8 KiB | 2024-Oct-25 20:11 |
hyfetch-pyc-1.99.0-r1.apk | 180.0 KiB | 2024-Oct-25 20:11 |
hitide-0.15.0-r0.apk | 1.9 MiB | 2024-Oct-25 20:11 |
howard-bc-7.0.3-r0.apk | 69.5 KiB | 2024-Oct-25 20:11 |
hypnotix-lang-3.5-r0.apk | 72.4 KiB | 2024-Oct-25 20:11 |
hikari-doc-2.3.3-r6.apk | 13.6 KiB | 2024-Oct-25 20:11 |
horizon-0.9.6-r9.apk | 224.8 KiB | 2024-Oct-25 20:11 |
httrack-doc-3.49.2-r5.apk | 528.0 KiB | 2024-Oct-25 20:11 |
hstdb-2.1.0-r2.apk | 893.9 KiB | 2024-Oct-25 20:11 |
httpie-oauth-pyc-1.0.2-r9.apk | 2.3 KiB | 2024-Oct-25 20:11 |
hiawatha-openrc-11.6-r0.apk | 1.7 KiB | 2024-Oct-25 20:11 |
htslib-dev-1.19-r0.apk | 115.3 KiB | 2024-Oct-25 20:11 |
hitide-openrc-0.15.0-r0.apk | 2.1 KiB | 2024-Oct-25 20:11 |
i2util-doc-4.2.1-r1.apk | 4.7 KiB | 2024-Oct-25 20:11 |
hwatch-zsh-completion-0.3.11-r0.apk | 1.9 KiB | 2024-Oct-25 20:11 |
hiawatha-letsencrypt-11.6-r0.apk | 17.6 KiB | 2024-Oct-25 20:11 |
hfst-libs-3.16.0-r2.apk | 1.8 MiB | 2024-Oct-25 20:11 |
horust-0.1.7-r2.apk | 1.0 MiB | 2024-Oct-25 20:11 |
horizon-doc-0.9.6-r9.apk | 21.4 KiB | 2024-Oct-25 20:11 |
hping3-doc-20051105-r4.apk | 16.7 KiB | 2024-Oct-25 20:11 |
hiawatha-doc-11.6-r0.apk | 21.1 KiB | 2024-Oct-25 20:11 |
hy-1.0.0-r0.apk | 85.3 KiB | 2024-Oct-25 20:11 |
i2util-dev-4.2.1-r1.apk | 47.3 KiB | 2024-Oct-25 20:11 |
hfst-3.16.0-r2.apk | 1.4 MiB | 2024-Oct-25 20:11 |
hiawatha-11.6-r0.apk | 206.3 KiB | 2024-Oct-25 20:11 |
howard-bc-doc-7.0.3-r0.apk | 38.7 KiB | 2024-Oct-25 20:11 |
hpnssh-18.4.1-r0.apk | 2.1 MiB | 2024-Oct-25 20:11 |
htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2024-Oct-25 20:11 |
hwatch-0.3.11-r0.apk | 1007.4 KiB | 2024-Oct-25 20:11 |
hyfetch-1.99.0-r1.apk | 432.9 KiB | 2024-Oct-25 20:11 |
hsetroot-1.0.5-r1.apk | 10.8 KiB | 2024-Oct-25 20:11 |
hwatch-fish-completion-0.3.11-r0.apk | 1.8 KiB | 2024-Oct-25 20:11 |
hyfetch-bash-completion-1.99.0-r1.apk | 3.3 KiB | 2024-Oct-25 20:11 |
htslib-static-1.19-r0.apk | 484.1 KiB | 2024-Oct-25 20:11 |
horizon-dev-0.9.6-r9.apk | 4.9 KiB | 2024-Oct-25 20:11 |
hiprompt-gtk-py-0.8.0-r0.apk | 8.3 KiB | 2024-Oct-25 20:11 |
hyx-2024.02.29-r0.apk | 17.5 KiB | 2024-Oct-25 20:11 |
htslib-tools-1.19-r0.apk | 1.3 MiB | 2024-Oct-25 20:11 |
htslib-doc-1.19-r0.apk | 22.7 KiB | 2024-Oct-25 20:11 |
hyfetch-zsh-completion-1.99.0-r1.apk | 2.5 KiB | 2024-Oct-25 20:11 |
hikari-2.3.3-r6.apk | 946.7 KiB | 2024-Oct-25 20:11 |
horizon-tools-0.9.6-r9.apk | 86.4 KiB | 2024-Oct-25 20:11 |
hwatch-doc-0.3.11-r0.apk | 3.0 KiB | 2024-Oct-25 20:11 |
hx-1.0.15-r0.apk | 14.6 KiB | 2024-Oct-25 20:11 |
hy-pyc-1.0.0-r0.apk | 169.1 KiB | 2024-Oct-25 20:11 |
hidrd-0.2.0_git20190603-r1.apk | 73.5 KiB | 2024-Oct-25 20:11 |
hfst-doc-3.16.0-r2.apk | 71.1 KiB | 2024-Oct-25 20:11 |
hping3-20051105-r4.apk | 81.7 KiB | 2024-Oct-25 20:11 |
hyfetch-doc-1.99.0-r1.apk | 16.5 KiB | 2024-Oct-25 20:11 |
horust-doc-0.1.7-r2.apk | 9.2 KiB | 2024-Oct-25 20:11 |
hidrd-dev-0.2.0_git20190603-r1.apk | 129.4 KiB | 2024-Oct-25 20:11 |
hypnotix-3.5-r0.apk | 110.1 KiB | 2024-Oct-25 20:11 |
hunspell-ca-es-3.0.7-r0.apk | 731.3 KiB | 2024-Oct-25 20:11 |
hexdiff-0.0.53-r2.apk | 16.0 KiB | 2024-Oct-25 20:11 |
hexedit-1.6_git20230905-r0.apk | 19.5 KiB | 2024-Oct-25 20:11 |
hexedit-doc-1.6_git20230905-r0.apk | 5.6 KiB | 2024-Oct-25 20:11 |
hexdiff-doc-0.0.53-r2.apk | 3.7 KiB | 2024-Oct-25 20:11 |
herbe-1.0.0-r0.apk | 5.6 KiB | 2024-Oct-25 20:11 |
hex-0.6.0-r0.apk | 298.0 KiB | 2024-Oct-25 20:11 |
hashcat-6.2.6-r0.apk | 66.6 MiB | 2024-Oct-25 20:11 |
hatop-0.8.2-r0.apk | 18.0 KiB | 2024-Oct-25 20:11 |
hddfancontrol-1.6.2-r0.apk | 32.8 KiB | 2024-Oct-25 20:11 |
hdf4-4.2.15-r2.apk | 240.3 KiB | 2024-Oct-25 20:11 |
hdf4-doc-4.2.15-r2.apk | 6.0 KiB | 2024-Oct-25 20:11 |
hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2024-Oct-25 20:11 |
hdf4-dev-4.2.15-r2.apk | 100.9 KiB | 2024-Oct-25 20:11 |
hddfancontrol-openrc-1.6.2-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
hddfancontrol-pyc-1.6.2-r0.apk | 34.0 KiB | 2024-Oct-25 20:11 |
hdf4-tools-4.2.15-r2.apk | 185.9 KiB | 2024-Oct-25 20:11 |
hctl-0.2.6-r0.apk | 1.2 MiB | 2024-Oct-25 20:11 |
hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2024-Oct-25 20:11 |
hamster-time-tracker-lang-3.0.3-r2.apk | 205.7 KiB | 2024-Oct-25 20:11 |
hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2.0 KiB | 2024-Oct-25 20:11 |
h4h5tools-2.2.5-r4.apk | 108.6 KiB | 2024-Oct-25 20:11 |
halp-bash-completion-0.2.0-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
hamster-time-tracker-3.0.3-r2.apk | 155.8 KiB | 2024-Oct-25 20:11 |
handlebars-dev-1.0.0-r1.apk | 32.4 KiB | 2024-Oct-25 20:11 |
handlebars-1.0.0-r1.apk | 106.6 KiB | 2024-Oct-25 20:11 |
h4h5tools-doc-2.2.5-r4.apk | 2.7 KiB | 2024-Oct-25 20:11 |
harminv-1.4.2-r1.apk | 8.0 KiB | 2024-Oct-25 20:11 |
halp-0.2.0-r0.apk | 925.5 KiB | 2024-Oct-25 20:11 |
gutenprint-samples-5.3.4-r5.apk | 637.6 KiB | 2024-Oct-25 20:11 |
gutenprint-doc-5.3.4-r5.apk | 8.4 KiB | 2024-Oct-25 20:11 |
gutenprint-dev-5.3.4-r5.apk | 35.7 KiB | 2024-Oct-25 20:11 |
hamster-time-tracker-pyc-3.0.3-r2.apk | 358.1 KiB | 2024-Oct-25 20:11 |
h4h5tools-static-2.2.5-r4.apk | 103.3 KiB | 2024-Oct-25 20:11 |
gutenprint-static-5.3.4-r5.apk | 799.2 KiB | 2024-Oct-25 20:11 |
h4h5tools-dev-2.2.5-r4.apk | 8.8 KiB | 2024-Oct-25 20:11 |
halp-doc-0.2.0-r0.apk | 6.9 KiB | 2024-Oct-25 20:11 |
hamster-time-tracker-doc-3.0.3-r2.apk | 116.2 KiB | 2024-Oct-25 20:11 |
gutenprint-lang-5.3.4-r5.apk | 1.9 MiB | 2024-Oct-25 20:11 |
harminv-libs-1.4.2-r1.apk | 15.0 KiB | 2024-Oct-25 20:11 |
handlebars-utils-1.0.0-r1.apk | 9.8 KiB | 2024-Oct-25 20:11 |
halp-fish-completion-0.2.0-r0.apk | 2.0 KiB | 2024-Oct-25 20:11 |
harminv-dev-1.4.2-r1.apk | 3.1 KiB | 2024-Oct-25 20:11 |
gutenprint-libs-5.3.4-r5.apk | 534.4 KiB | 2024-Oct-25 20:11 |
habitctl-0.1.0-r2.apk | 332.2 KiB | 2024-Oct-25 20:11 |
gutenprint-cups-5.3.4-r5.apk | 48.8 MiB | 2024-Oct-25 20:11 |
halp-zsh-completion-0.2.0-r0.apk | 2.4 KiB | 2024-Oct-25 20:11 |
harminv-doc-1.4.2-r1.apk | 5.7 KiB | 2024-Oct-25 20:11 |
gsettings-qt-dev-0.2_git20220807-r1.apk | 3.6 KiB | 2024-Oct-25 20:11 |
gtkhash-lang-1.5-r0.apk | 46.6 KiB | 2024-Oct-25 20:11 |
gtkwave-3.3.120-r0.apk | 2.6 MiB | 2024-Oct-25 20:11 |
guestfs-tools-1.52.0-r1.apk | 278.3 KiB | 2024-Oct-25 20:11 |
guake-pyc-3.10-r1.apk | 185.9 KiB | 2024-Oct-25 20:11 |
gsimplecal-2.5.1-r0.apk | 17.3 KiB | 2024-Oct-25 20:11 |
gsimplecal-doc-2.5.1-r0.apk | 5.8 KiB | 2024-Oct-25 20:11 |
guake-lang-3.10-r1.apk | 187.8 KiB | 2024-Oct-25 20:11 |
gutenprint-5.3.4-r5.apk | 817.4 KiB | 2024-Oct-25 20:11 |
guake-3.10-r1.apk | 305.3 KiB | 2024-Oct-25 20:11 |
guetzli-0_git20191025-r1.apk | 138.2 KiB | 2024-Oct-25 20:11 |
gsettings-qt-0.2_git20220807-r1.apk | 47.8 KiB | 2024-Oct-25 20:11 |
gtkhash-1.5-r0.apk | 90.3 KiB | 2024-Oct-25 20:11 |
gtkwave-doc-3.3.120-r0.apk | 27.1 KiB | 2024-Oct-25 20:11 |
guetzli-dev-0_git20191025-r1.apk | 2.2 MiB | 2024-Oct-25 20:11 |
grpc-health-check-0.1.1-r3.apk | 1018.9 KiB | 2024-Oct-25 20:11 |
greetd-wlgreet-0.5.0-r0.apk | 613.7 KiB | 2024-Oct-25 20:11 |
grip-doc-4.2.4-r0.apk | 6.2 KiB | 2024-Oct-25 20:11 |
gpa-0.10.0-r2.apk | 252.2 KiB | 2024-Oct-25 20:11 |
grip-4.2.4-r0.apk | 388.3 KiB | 2024-Oct-25 20:11 |
gprbuild-22.0.0-r3.apk | 12.9 MiB | 2024-Oct-25 20:11 |
greetd-mini-wl-greeter-0_git20230821-r0.apk | 19.5 KiB | 2024-Oct-25 20:11 |
gpg-remailer-3.04.07-r1.apk | 50.4 KiB | 2024-Oct-25 20:11 |
greetd-mini-wl-greeter-bash-completion-0_git202..> | 2.2 KiB | 2024-Oct-25 20:11 |
granite7-lang-7.5.0-r0.apk | 52.0 KiB | 2024-Oct-25 20:11 |
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.3 KiB | 2024-Oct-25 20:11 |
goxel-0.15.1-r0.apk | 1.8 MiB | 2024-Oct-25 20:11 |
granite7-7.5.0-r0.apk | 124.5 KiB | 2024-Oct-25 20:11 |
gpg-remailer-doc-3.04.07-r1.apk | 9.5 KiB | 2024-Oct-25 20:11 |
granite7-dev-7.5.0-r0.apk | 43.7 KiB | 2024-Oct-25 20:11 |
grip-lang-4.2.4-r0.apk | 144.4 KiB | 2024-Oct-25 20:11 |
gpa-doc-0.10.0-r2.apk | 2.9 KiB | 2024-Oct-25 20:11 |
goomwwm-1.0.0-r5.apk | 45.8 KiB | 2024-Oct-25 20:11 |
gobang-0.1.0_alpha5-r1.apk | 1.9 MiB | 2024-Oct-25 20:11 |
gnu-apl-doc-1.9-r0.apk | 1.6 MiB | 2024-Oct-25 20:11 |
gnu-apl-dev-1.9-r0.apk | 560.0 KiB | 2024-Oct-25 20:11 |
gnome-metronome-1.3.0-r0.apk | 451.3 KiB | 2024-Oct-25 20:11 |
gloox-1.0.28-r0.apk | 410.2 KiB | 2024-Oct-25 20:11 |
glmark2-2023.01-r1.apk | 8.1 MiB | 2024-Oct-25 20:11 |
gnome-common-3.18.0-r3.apk | 11.5 KiB | 2024-Oct-25 20:11 |
gnu-apl-1.9-r0.apk | 1.3 MiB | 2024-Oct-25 20:11 |
gnome-metronome-lang-1.3.0-r0.apk | 24.7 KiB | 2024-Oct-25 20:11 |
glmark2-doc-2023.01-r1.apk | 12.8 KiB | 2024-Oct-25 20:11 |
gloox-dev-1.0.28-r0.apk | 878.3 KiB | 2024-Oct-25 20:11 |
gearmand-doc-1.1.21-r1.apk | 189.7 KiB | 2024-Oct-25 20:11 |
gearman-dev-1.1.21-r1.apk | 1.0 MiB | 2024-Oct-25 20:11 |
ginac-dev-1.8.7-r1.apk | 69.9 KiB | 2024-Oct-25 20:11 |
gearmand-openrc-1.1.21-r1.apk | 1.8 KiB | 2024-Oct-25 20:11 |
gitoxide-0.14.0-r1.apk | 2.8 MiB | 2024-Oct-25 20:11 |
ginac-1.8.7-r1.apk | 1.2 MiB | 2024-Oct-25 20:11 |
gdcm-doc-pdf-3.0.24-r0.apk | 13.6 MiB | 2024-Oct-25 20:11 |
geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-Oct-25 20:11 |
geonames-dev-0.3.1-r2.apk | 3.0 KiB | 2024-Oct-25 20:11 |
git2json-0.2.3-r8.apk | 7.4 KiB | 2024-Oct-25 20:11 |
getssl-2.48-r0.apk | 82.2 KiB | 2024-Oct-25 20:11 |
geomyidae-0.34-r2.apk | 15.6 KiB | 2024-Oct-25 20:11 |
ghc-filesystem-1.5.14-r0.apk | 38.6 KiB | 2024-Oct-25 20:11 |
git-quick-stats-2.5.8-r0.apk | 12.4 KiB | 2024-Oct-25 20:11 |
geonames-doc-0.3.1-r2.apk | 12.6 KiB | 2024-Oct-25 20:11 |
git-secret-0.5.0-r0.apk | 14.8 KiB | 2024-Oct-25 20:11 |
gingerbase-2.3.0-r7.apk | 195.2 KiB | 2024-Oct-25 20:11 |
gf2x-dev-1.3.0-r1.apk | 63.8 KiB | 2024-Oct-25 20:11 |
git2json-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-25 20:11 |
glfw-wayland-3.3.8-r3.apk | 63.4 KiB | 2024-Oct-25 20:11 |
git-revise-doc-0.7.0-r5.apk | 5.0 KiB | 2024-Oct-25 20:11 |
ghostcloud-0.9.9.5-r2.apk | 465.2 KiB | 2024-Oct-25 20:11 |
git-revise-0.7.0-r5.apk | 24.3 KiB | 2024-Oct-25 20:11 |
git-secret-doc-0.5.0-r0.apk | 17.1 KiB | 2024-Oct-25 20:11 |
glfw-wayland-dev-3.3.8-r3.apk | 45.6 KiB | 2024-Oct-25 20:11 |
geomyidae-openrc-0.34-r2.apk | 2.0 KiB | 2024-Oct-25 20:11 |
gearman-libs-1.1.21-r1.apk | 87.0 KiB | 2024-Oct-25 20:11 |
gf2x-1.3.0-r1.apk | 41.8 KiB | 2024-Oct-25 20:11 |
ginger-pyc-2.4.0-r7.apk | 206.8 KiB | 2024-Oct-25 20:11 |
ginger-lang-2.4.0-r7.apk | 125.4 KiB | 2024-Oct-25 20:11 |
gingerbase-pyc-2.3.0-r7.apk | 61.5 KiB | 2024-Oct-25 20:11 |
geomyidae-doc-0.34-r2.apk | 7.7 KiB | 2024-Oct-25 20:11 |
gearmand-1.1.21-r1.apk | 196.9 KiB | 2024-Oct-25 20:11 |
genact-1.4.2-r0.apk | 1.3 MiB | 2024-Oct-25 20:11 |
gede-2.18.2-r1.apk | 290.3 KiB | 2024-Oct-25 20:11 |
gingerbase-lang-2.3.0-r7.apk | 52.9 KiB | 2024-Oct-25 20:11 |
glfw-wayland-dbg-3.3.8-r3.apk | 179.2 KiB | 2024-Oct-25 20:11 |
geonames-0.3.1-r2.apk | 827.2 KiB | 2024-Oct-25 20:11 |
git-quick-stats-doc-2.5.8-r0.apk | 2.9 KiB | 2024-Oct-25 20:11 |
ginger-2.4.0-r7.apk | 257.1 KiB | 2024-Oct-25 20:11 |
git-revise-pyc-0.7.0-r5.apk | 42.2 KiB | 2024-Oct-25 20:11 |
ginac-doc-1.8.7-r1.apk | 98.0 KiB | 2024-Oct-25 20:11 |
fulcrum-1.9.8-r1.apk | 966.6 KiB | 2024-Oct-25 20:11 |
gammastep-2.0.9-r3.apk | 90.1 KiB | 2024-Oct-25 20:11 |
fxfloorboard-katana-mk2-20240515-r1.apk | 5.6 MiB | 2024-Oct-25 20:11 |
gdcm-doc-html-3.0.24-r0.apk | 8.7 MiB | 2024-Oct-25 20:11 |
fusesoc-2.3-r0.apk | 46.3 KiB | 2024-Oct-25 20:11 |
freshrss-doc-1.23.1-r1.apk | 751.2 KiB | 2024-Oct-25 20:11 |
freshrss-sqlite-1.23.1-r1.apk | 1.5 KiB | 2024-Oct-25 20:11 |
freshrss-1.23.1-r1.apk | 1.5 MiB | 2024-Oct-25 20:11 |
gatling-openrc-0.16-r6.apk | 2.8 KiB | 2024-Oct-25 20:11 |
freealut-1.1.0-r1.apk | 19.3 KiB | 2024-Oct-25 20:11 |
gammastep-pyc-2.0.9-r3.apk | 16.9 KiB | 2024-Oct-25 20:11 |
fulcrum-admin-1.9.8-r1.apk | 7.9 KiB | 2024-Oct-25 20:11 |
fyi-doc-1.0.4-r0.apk | 7.0 KiB | 2024-Oct-25 20:11 |
freediameter-dev-1.5.0-r1.apk | 53.8 KiB | 2024-Oct-25 20:11 |
fulcrum-doc-1.9.8-r1.apk | 21.6 KiB | 2024-Oct-25 20:11 |
freshrss-openrc-1.23.1-r1.apk | 2.5 KiB | 2024-Oct-25 20:11 |
freediameter-extensions-1.5.0-r1.apk | 323.0 KiB | 2024-Oct-25 20:11 |
fyi-1.0.4-r0.apk | 9.9 KiB | 2024-Oct-25 20:11 |
gamja-doc-1.0.0_beta9-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
freediameter-libfdproto-1.5.0-r1.apk | 87.1 KiB | 2024-Oct-25 20:11 |
fzy-1.0-r3.apk | 14.5 KiB | 2024-Oct-25 20:11 |
gammastep-doc-2.0.9-r3.apk | 14.1 KiB | 2024-Oct-25 20:11 |
gamja-1.0.0_beta9-r0.apk | 599.2 KiB | 2024-Oct-25 20:11 |
fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-Oct-25 20:11 |
freshrss-themes-1.23.1-r1.apk | 1.5 MiB | 2024-Oct-25 20:11 |
gdcm-doc-3.0.24-r0.apk | 55.4 KiB | 2024-Oct-25 20:11 |
gaupol-1.12-r2.apk | 276.2 KiB | 2024-Oct-25 20:11 |
freshrss-mysql-1.23.1-r1.apk | 1.5 KiB | 2024-Oct-25 20:11 |
fzy-doc-1.0-r3.apk | 2.7 KiB | 2024-Oct-25 20:11 |
gdcm-dev-3.0.24-r0.apk | 462.8 KiB | 2024-Oct-25 20:11 |
gdcm-3.0.24-r0.apk | 398.7 KiB | 2024-Oct-25 20:11 |
gambit-doc-4.9.5-r0.apk | 4.4 KiB | 2024-Oct-25 20:11 |
freediameter-1.5.0-r1.apk | 9.0 KiB | 2024-Oct-25 20:11 |
gatling-doc-0.16-r6.apk | 9.1 KiB | 2024-Oct-25 20:11 |
freshrss-lang-1.23.1-r1.apk | 379.3 KiB | 2024-Oct-25 20:11 |
gaupol-pyc-1.12-r2.apk | 419.2 KiB | 2024-Oct-25 20:11 |
gatling-0.16-r6.apk | 157.9 KiB | 2024-Oct-25 20:11 |
fusee-nano-udev-0.5.3-r1.apk | 1.7 KiB | 2024-Oct-25 20:11 |
fusesoc-pyc-2.3-r0.apk | 89.3 KiB | 2024-Oct-25 20:11 |
freediameter-libfdcore-1.5.0-r1.apk | 158.8 KiB | 2024-Oct-25 20:11 |
gaupol-doc-1.12-r2.apk | 2.4 KiB | 2024-Oct-25 20:11 |
freealut-dev-1.1.0-r1.apk | 24.8 KiB | 2024-Oct-25 20:11 |
fyi-bash-completion-1.0.4-r0.apk | 1.8 KiB | 2024-Oct-25 20:11 |
frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-Oct-25 20:11 |
frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-Oct-25 20:11 |
gaupol-lang-1.12-r2.apk | 276.7 KiB | 2024-Oct-25 20:11 |
gammastep-lang-2.0.9-r3.apk | 77.5 KiB | 2024-Oct-25 20:11 |
gambit-4.9.5-r0.apk | 9.9 MiB | 2024-Oct-25 20:11 |
fusee-nano-0.5.3-r1.apk | 20.8 KiB | 2024-Oct-25 20:11 |
fyi-fish-completion-1.0.4-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
frescobaldi-doc-3.3.0-r1.apk | 2.5 KiB | 2024-Oct-25 20:11 |
gambit-dev-4.9.5-r0.apk | 6.6 MiB | 2024-Oct-25 20:11 |
freshrss-pgsql-1.23.1-r1.apk | 1.5 KiB | 2024-Oct-25 20:11 |
fpp-0.9.5-r0.apk | 29.3 KiB | 2024-Oct-25 20:11 |
fpc-stage0-3.2.2-r3.apk | 6.2 MiB | 2024-Oct-25 20:11 |
fpc-doc-3.2.2-r4.apk | 1.2 MiB | 2024-Oct-25 20:11 |
fpc-3.2.2-r4.apk | 69.8 MiB | 2024-Oct-25 20:11 |
fpp-doc-0.9.5-r0.apk | 5.6 KiB | 2024-Oct-25 20:11 |
fox-calculator-1.6.57-r0.apk | 34.9 KiB | 2024-Oct-25 20:11 |
font-tiresias-doc-0_git20200704-r0.apk | 58.1 KiB | 2024-Oct-25 20:11 |
font-material-icons-4.0.0-r0.apk | 651.9 KiB | 2024-Oct-25 20:11 |
foolsm-doc-1.0.21-r0.apk | 3.9 KiB | 2024-Oct-25 20:11 |
font-stix-ttf-2.13-r0.apk | 430.1 KiB | 2024-Oct-25 20:11 |
font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-Oct-25 20:11 |
font-tamzen-1.11.5-r1.apk | 61.9 KiB | 2024-Oct-25 20:11 |
font-tiresias-0_git20200704-r0.apk | 568.3 KiB | 2024-Oct-25 20:11 |
font-teluguvijayam-20190525-r2.apk | 3.7 MiB | 2024-Oct-25 20:11 |
font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-Oct-25 20:11 |
font-tinos-0_git20210228-r0.apk | 198.7 KiB | 2024-Oct-25 20:11 |
font-siji-20190218_git-r2.apk | 24.5 KiB | 2024-Oct-25 20:11 |
fox-dev-1.6.57-r0.apk | 1.7 MiB | 2024-Oct-25 20:11 |
fox-doc-1.6.57-r0.apk | 2.0 MiB | 2024-Oct-25 20:11 |
font-monocraft-4.0-r0.apk | 676.7 KiB | 2024-Oct-25 20:11 |
foolsm-openrc-1.0.21-r0.apk | 1.5 KiB | 2024-Oct-25 20:11 |
fox-shutterbug-1.6.57-r0.apk | 22.6 KiB | 2024-Oct-25 20:11 |
fox-1.6.57-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
fox-adie-1.6.57-r0.apk | 127.8 KiB | 2024-Oct-25 20:11 |
font-intel-one-mono-1.3.0-r0.apk | 281.5 KiB | 2024-Oct-25 20:11 |
fox-utils-1.6.57-r0.apk | 6.9 KiB | 2024-Oct-25 20:11 |
font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-Oct-25 20:11 |
font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-Oct-25 20:11 |
fox-pathfinder-1.6.57-r0.apk | 54.1 KiB | 2024-Oct-25 20:11 |
font-hanazono-20170904-r1.apk | 28.9 MiB | 2024-Oct-25 20:11 |
font-katex-0.16.2-r0.apk | 851.6 KiB | 2024-Oct-25 20:11 |
foolsm-1.0.21-r0.apk | 34.2 KiB | 2024-Oct-25 20:11 |
font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-Oct-25 20:11 |
font-monaspace-1.101-r0.apk | 1.5 KiB | 2024-Oct-25 20:11 |
font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-Oct-25 20:11 |
font-chivo-0_git20221110-r0.apk | 792.4 KiB | 2024-Oct-25 20:11 |
fnf-doc-0.1-r0.apk | 4.6 KiB | 2024-Oct-25 20:11 |
font-chivo-mono-0_git20221110-r0.apk | 625.9 KiB | 2024-Oct-25 20:11 |
flint-dev-2.9.0-r2.apk | 311.3 KiB | 2024-Oct-25 20:11 |
fnf-0.1-r0.apk | 18.1 KiB | 2024-Oct-25 20:11 |
font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-Oct-25 20:11 |
font-fira-otf-4.202-r0.apk | 7.4 MiB | 2024-Oct-25 20:11 |
font-comic-neue-doc-2.51-r0.apk | 1004.1 KiB | 2024-Oct-25 20:11 |
font-fira-code-6.2-r0.apk | 836.0 KiB | 2024-Oct-25 20:11 |
font-comic-neue-2.51-r0.apk | 248.8 KiB | 2024-Oct-25 20:11 |
flowd-doc-0.9.1-r10.apk | 10.1 KiB | 2024-Oct-25 20:11 |
font-firamath-0.3.4-r0.apk | 118.3 KiB | 2024-Oct-25 20:11 |
font-cousine-0_git20210228-r0.apk | 110.0 KiB | 2024-Oct-25 20:11 |
flowd-0.9.1-r10.apk | 78.6 KiB | 2024-Oct-25 20:11 |
font-anonymous-pro-1.002-r2.apk | 264.5 KiB | 2024-Oct-25 20:11 |
foma-dev-0.10.0_git20240712-r0.apk | 8.5 KiB | 2024-Oct-25 20:11 |
font-fira-ttf-4.202-r0.apk | 6.2 MiB | 2024-Oct-25 20:11 |
font-fira-code-vf-6.2-r0.apk | 145.1 KiB | 2024-Oct-25 20:11 |
font-fantasque-sans-largelineheight-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 20:11 |
font-fantasque-sans-doc-1.8.0-r0.apk | 5.5 KiB | 2024-Oct-25 20:11 |
font-fantasque-sans-normal-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 20:11 |
font-fira-4.202-r0.apk | 1.2 KiB | 2024-Oct-25 20:11 |
foma-0.10.0_git20240712-r0.apk | 328.7 KiB | 2024-Oct-25 20:11 |
font-commit-mono-1.143-r0.apk | 251.3 KiB | 2024-Oct-25 20:11 |
font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2024-Oct-25 20:11 |
flowd-dev-0.9.1-r10.apk | 8.3 KiB | 2024-Oct-25 20:11 |
font-fantasque-sans-noloopk-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 20:11 |
flowd-openrc-0.9.1-r10.apk | 1.9 KiB | 2024-Oct-25 20:11 |
flint-2.9.0-r2.apk | 5.2 MiB | 2024-Oct-25 20:11 |
flintqs-1.0-r1.apk | 22.4 KiB | 2024-Oct-25 20:11 |
font-fantasque-sans-1.8.0-r0.apk | 1.2 KiB | 2024-Oct-25 20:11 |
font-fantasque-sans-largelineheightnoloopk-1.8...> | 315.8 KiB | 2024-Oct-25 20:11 |
flare-engine-1.14-r0.apk | 4.6 MiB | 2024-Oct-25 20:11 |
ffsend-bash-completion-0.2.76-r4.apk | 3.6 KiB | 2024-Oct-25 20:11 |
ffms2-5.0-r0.apk | 78.9 KiB | 2024-Oct-25 20:11 |
fff-2.2-r0.apk | 10.7 KiB | 2024-Oct-25 20:11 |
faust-static-2.60.3-r2.apk | 527.6 KiB | 2024-Oct-25 20:11 |
firehol-doc-3.1.7-r2.apk | 674.6 KiB | 2024-Oct-25 20:11 |
faust-2.60.3-r2.apk | 8.6 MiB | 2024-Oct-25 20:11 |
finger-doc-0.5-r0.apk | 3.8 KiB | 2024-Oct-25 20:11 |
flatpak-xdg-utils-1.0.6-r0.apk | 19.4 KiB | 2024-Oct-25 20:11 |
fceux-2.6.6-r2.apk | 3.0 MiB | 2024-Oct-25 20:11 |
featherpad-1.5.1-r0.apk | 766.8 KiB | 2024-Oct-25 20:11 |
flare-game-1.14-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
fava-pyc-1.28-r0.apk | 164.1 KiB | 2024-Oct-25 20:11 |
fiery-2.0.0-r0.apk | 283.9 KiB | 2024-Oct-25 20:11 |
fava-1.28-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
flare-engine-doc-1.14-r0.apk | 2.5 KiB | 2024-Oct-25 20:11 |
filite-0.3.0-r2.apk | 1.1 MiB | 2024-Oct-25 20:11 |
flauschige-uhr-0.1-r1.apk | 4.2 KiB | 2024-Oct-25 20:11 |
featherpad-lang-1.5.1-r0.apk | 462.8 KiB | 2024-Oct-25 20:11 |
faust-dev-2.60.3-r2.apk | 770.5 KiB | 2024-Oct-25 20:11 |
finger-0.5-r0.apk | 6.8 KiB | 2024-Oct-25 20:11 |
faust-tools-2.60.3-r2.apk | 118.9 KiB | 2024-Oct-25 20:11 |
faust-doc-2.60.3-r2.apk | 16.7 MiB | 2024-Oct-25 20:11 |
ffms2-doc-5.0-r0.apk | 30.3 KiB | 2024-Oct-25 20:11 |
fbvnc-0_git20220812-r0.apk | 10.1 KiB | 2024-Oct-25 20:11 |
ffsend-0.2.76-r4.apk | 1.5 MiB | 2024-Oct-25 20:11 |
ffms2-dev-5.0-r0.apk | 7.6 KiB | 2024-Oct-25 20:11 |
fflas-ffpack-2.5.0-r3.apk | 345.4 KiB | 2024-Oct-25 20:11 |
fildesh-0.2.0-r0.apk | 66.2 KiB | 2024-Oct-25 20:11 |
fildesh-doc-0.2.0-r0.apk | 2.1 KiB | 2024-Oct-25 20:11 |
firehol-openrc-3.1.7-r2.apk | 2.1 KiB | 2024-Oct-25 20:11 |
ffsend-fish-completion-0.2.76-r4.apk | 3.6 KiB | 2024-Oct-25 20:11 |
fildesh-vim-0.2.0-r0.apk | 3.5 KiB | 2024-Oct-25 20:11 |
fceux-doc-2.6.6-r2.apk | 105.8 KiB | 2024-Oct-25 20:11 |
findtow-0.1-r0.apk | 4.9 KiB | 2024-Oct-25 20:11 |
fbcur-doc-1.0.1-r1.apk | 2.2 KiB | 2024-Oct-25 20:11 |
fff-doc-2.2-r0.apk | 9.0 KiB | 2024-Oct-25 20:11 |
ffsend-zsh-completion-0.2.76-r4.apk | 4.6 KiB | 2024-Oct-25 20:11 |
firehol-3.1.7-r2.apk | 84.8 KiB | 2024-Oct-25 20:11 |
fbcur-1.0.1-r1.apk | 4.7 KiB | 2024-Oct-25 20:11 |
faust-vim-2.60.3-r2.apk | 2.6 KiB | 2024-Oct-25 20:11 |
fdm-materials-5.2.2-r1.apk | 59.7 KiB | 2024-Oct-25 20:11 |
fiery-lang-2.0.0-r0.apk | 53.6 KiB | 2024-Oct-25 20:11 |
enlighten-doc-0.9.2-r1.apk | 3.5 KiB | 2024-Oct-25 20:11 |
espeakup-0.90-r2.apk | 11.4 KiB | 2024-Oct-25 20:11 |
endlessh-doc-1.1-r0.apk | 2.4 KiB | 2024-Oct-25 20:11 |
fabric-pyc-3.2.2-r1.apk | 60.1 KiB | 2024-Oct-25 20:11 |
fatrace-doc-0.17.0-r0.apk | 3.3 KiB | 2024-Oct-25 20:11 |
espeakup-openrc-0.90-r2.apk | 1.8 KiB | 2024-Oct-25 20:11 |
fatresize-1.1.0-r1.apk | 9.5 KiB | 2024-Oct-25 20:11 |
faultstat-bash-completion-0.01.11-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
esptool-pyc-4.8.1-r0.apk | 549.3 KiB | 2024-Oct-25 20:11 |
epr-2.4.15-r1.apk | 15.7 KiB | 2024-Oct-25 20:11 |
eva-0.3.1-r2.apk | 629.5 KiB | 2024-Oct-25 20:11 |
f_scripts-f_files-0.6-r1.apk | 2.9 KiB | 2024-Oct-25 20:11 |
extremetuxracer-doc-0.8.3-r0.apk | 6.7 KiB | 2024-Oct-25 20:11 |
f_scripts-f_theme-0.6-r1.apk | 2.6 KiB | 2024-Oct-25 20:11 |
endlessh-1.1-r0.apk | 9.6 KiB | 2024-Oct-25 20:11 |
faultstat-doc-0.01.11-r0.apk | 3.0 KiB | 2024-Oct-25 20:11 |
ettercap-doc-0.8.3.1-r3.apk | 45.1 KiB | 2024-Oct-25 20:11 |
f_scripts-f_rss-0.6-r1.apk | 2.5 KiB | 2024-Oct-25 20:11 |
extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2024-Oct-25 20:11 |
fatrace-0.17.0-r0.apk | 10.2 KiB | 2024-Oct-25 20:11 |
faultstat-0.01.11-r0.apk | 13.8 KiB | 2024-Oct-25 20:11 |
f_scripts-f_networks-0.6-r1.apk | 3.0 KiB | 2024-Oct-25 20:11 |
f_scripts-f_web-0.6-r1.apk | 2.9 KiB | 2024-Oct-25 20:11 |
extundelete-0.2.4-r1.apk | 44.1 KiB | 2024-Oct-25 20:11 |
f_scripts-f_youtube-0.6-r1.apk | 2.8 KiB | 2024-Oct-25 20:11 |
fakeroot-tcp-1.32.1-r1.apk | 29.5 KiB | 2024-Oct-25 20:11 |
fatback-doc-1.3-r2.apk | 16.1 KiB | 2024-Oct-25 20:11 |
extrace-0.9-r0.apk | 9.5 KiB | 2024-Oct-25 20:11 |
fast_float-5.2.0-r1.apk | 42.8 KiB | 2024-Oct-25 20:11 |
epr-pyc-2.4.15-r1.apk | 24.4 KiB | 2024-Oct-25 20:11 |
endless-sky-doc-0.10.2-r0.apk | 36.5 KiB | 2024-Oct-25 20:11 |
extrace-doc-0.9-r0.apk | 3.5 KiB | 2024-Oct-25 20:11 |
f_scripts-0.6-r1.apk | 1.4 KiB | 2024-Oct-25 20:11 |
ettercap-0.8.3.1-r3.apk | 561.0 KiB | 2024-Oct-25 20:11 |
fabric-3.2.2-r1.apk | 54.6 KiB | 2024-Oct-25 20:11 |
epoch-1.3.0-r2.apk | 54.2 KiB | 2024-Oct-25 20:11 |
f_scripts-f_timer-0.6-r1.apk | 2.4 KiB | 2024-Oct-25 20:11 |
fatresize-doc-1.1.0-r1.apk | 15.2 KiB | 2024-Oct-25 20:11 |
enlighten-0.9.2-r1.apk | 7.3 KiB | 2024-Oct-25 20:11 |
f_scripts-f_audio-0.6-r1.apk | 3.3 KiB | 2024-Oct-25 20:11 |
envsubst-0.1-r1.apk | 4.9 KiB | 2024-Oct-25 20:11 |
enjoy-0.3-r1.apk | 11.0 KiB | 2024-Oct-25 20:11 |
f_scripts-f_game-0.6-r1.apk | 1.9 KiB | 2024-Oct-25 20:11 |
fatback-1.3-r2.apk | 30.2 KiB | 2024-Oct-25 20:11 |
endless-sky-0.10.2-r0.apk | 242.4 MiB | 2024-Oct-25 20:11 |
f_scripts-f_phone-0.6-r1.apk | 6.0 KiB | 2024-Oct-25 20:11 |
esptool-4.8.1-r0.apk | 424.0 KiB | 2024-Oct-25 20:11 |
f_scripts-f_maps-0.6-r1.apk | 2.1 KiB | 2024-Oct-25 20:11 |
emulationstation-theme-gbz35-2.11.2-r1.apk | 3.3 MiB | 2024-Oct-25 20:11 |
emacs-fossil-0_git20230504-r0.apk | 14.6 KiB | 2024-Oct-25 20:11 |
emacs-persist-0.6_git20240114-r0.apk | 6.6 KiB | 2024-Oct-25 20:11 |
eludris-doc-0.3.3-r1.apk | 2.3 KiB | 2024-Oct-25 20:11 |
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17.7 KiB | 2024-Oct-25 20:11 |
emacs-closql-1.2.1_git20240712-r0.apk | 14.4 KiB | 2024-Oct-25 20:11 |
emacs-hydra-0.15.0_git20220910-r0.apk | 46.0 KiB | 2024-Oct-25 20:11 |
empede-openrc-0.2.3-r0.apk | 1.9 KiB | 2024-Oct-25 20:11 |
emacs-gnosis-0.3.2-r0.apk | 62.1 KiB | 2024-Oct-25 20:11 |
emacs-embark-1.0_git20240327-r0.apk | 109.7 KiB | 2024-Oct-25 20:11 |
emacs-elfeed-3.4.1_git20240326-r0.apk | 91.3 KiB | 2024-Oct-25 20:11 |
emulationstation-2.11.2-r1.apk | 1.3 MiB | 2024-Oct-25 20:11 |
emacs-ace-window-0.10.0_git20220911-r0.apk | 22.8 KiB | 2024-Oct-25 20:11 |
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6.1 KiB | 2024-Oct-25 20:11 |
emacs-hackernews-0.7.0-r0.apk | 15.5 KiB | 2024-Oct-25 20:11 |
empede-0.2.3-r0.apk | 1.6 MiB | 2024-Oct-25 20:11 |
emacs-embark-consult-1.0_git20240327-r0.apk | 10.5 KiB | 2024-Oct-25 20:11 |
emacs-ement-0.15.1-r0.apk | 287.3 KiB | 2024-Oct-25 20:11 |
emacs-taxy-0.10.1-r0.apk | 11.5 KiB | 2024-Oct-25 20:11 |
emacs-derl-0_git20231004-r0.apk | 23.5 KiB | 2024-Oct-25 20:11 |
eludris-0.3.3-r1.apk | 1.9 MiB | 2024-Oct-25 20:11 |
emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5.9 KiB | 2024-Oct-25 20:11 |
emacs-centaur-tabs-3.2_git20230601-r0.apk | 55.1 KiB | 2024-Oct-25 20:11 |
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> | 4.3 KiB | 2024-Oct-25 20:11 |
emacs-consult-1.4_git20240405-r0.apk | 137.8 KiB | 2024-Oct-25 20:11 |
emacs-hnreader-0_git20221116-r0.apk | 9.8 KiB | 2024-Oct-25 20:11 |
emacs-llama-0.3.1_git20240722-r0.apk | 9.9 KiB | 2024-Oct-25 20:11 |
emacs-avy-0.5.0_git20230420-r0.apk | 43.4 KiB | 2024-Oct-25 20:11 |
empede-doc-0.2.3-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
emacs-svg-lib-0_git20240219-r0.apk | 19.0 KiB | 2024-Oct-25 20:11 |
emacs-sqlite3-api-0.18-r0.apk | 17.4 KiB | 2024-Oct-25 20:11 |
emacs-helm-3.9.7_git20240329-r0.apk | 815.2 KiB | 2024-Oct-25 20:11 |
emacs-epkg-3.3.3_git20240713-r0.apk | 36.8 KiB | 2024-Oct-25 20:11 |
emacs-emacsql-3.1.1_git20240714-r0.apk | 22.6 KiB | 2024-Oct-25 20:11 |
emacs-powerline-2.4_git20221110-r0.apk | 28.9 KiB | 2024-Oct-25 20:11 |
emacs-taxy-magit-section-0.13-r0.apk | 17.3 KiB | 2024-Oct-25 20:11 |
emacs-avy-embark-collect-1.0_git20240327-r0.apk | 3.9 KiB | 2024-Oct-25 20:11 |
eiwd-openrc-2.22-r0.apk | 1.8 KiB | 2024-Oct-25 20:11 |
duc-1.4.5-r0.apk | 87.3 KiB | 2024-Oct-25 20:11 |
ecm-dev-7.0.5-r1.apk | 4.9 KiB | 2024-Oct-25 20:11 |
dustracing2d-2.1.1-r1.apk | 5.2 MiB | 2024-Oct-25 20:11 |
dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2024-Oct-25 20:11 |
dune-deps-1.3.0-r2.apk | 746.8 KiB | 2024-Oct-25 20:11 |
dublin-traceroute-doc-0.4.2-r4.apk | 2.3 KiB | 2024-Oct-25 20:11 |
eatmemory-0.1.6-r2.apk | 4.3 KiB | 2024-Oct-25 20:11 |
efibootguard-bash-completion-0.16-r0.apk | 3.6 KiB | 2024-Oct-25 20:11 |
elementary-icon-theme-8.0.0-r0.apk | 5.0 MiB | 2024-Oct-25 20:11 |
ecm-7.0.5-r1.apk | 134.9 KiB | 2024-Oct-25 20:11 |
dumb_runtime_dir-1.0.4-r3.apk | 3.8 KiB | 2024-Oct-25 20:11 |
efl-dev-1.27.0-r1.apk | 1.9 MiB | 2024-Oct-25 20:11 |
dulcepan-1.0.2-r0.apk | 20.8 KiB | 2024-Oct-25 20:11 |
elf_diff-pyc-0.7.1-r3.apk | 108.0 KiB | 2024-Oct-25 20:11 |
efibootguard-dev-0.16-r0.apk | 21.9 KiB | 2024-Oct-25 20:11 |
dublin-traceroute-0.4.2-r4.apk | 50.2 KiB | 2024-Oct-25 20:11 |
eboard-doc-1.1.3-r1.apk | 4.7 KiB | 2024-Oct-25 20:11 |
dvdbackup-0.4.2-r1.apk | 17.0 KiB | 2024-Oct-25 20:11 |
ecm-doc-7.0.5-r1.apk | 7.2 KiB | 2024-Oct-25 20:11 |
duc-doc-1.4.5-r0.apk | 9.1 KiB | 2024-Oct-25 20:11 |
dwl-0.7-r0.apk | 28.8 KiB | 2024-Oct-25 20:11 |
eiwd-doc-2.22-r0.apk | 19.8 KiB | 2024-Oct-25 20:11 |
efibootguard-zsh-completion-0.16-r0.apk | 2.9 KiB | 2024-Oct-25 20:11 |
edward-1.1.0-r0.apk | 1.8 MiB | 2024-Oct-25 20:11 |
edward-doc-1.1.0-r0.apk | 5.3 KiB | 2024-Oct-25 20:11 |
eboard-1.1.3-r1.apk | 1.5 MiB | 2024-Oct-25 20:11 |
elfio-3.12-r0.apk | 1.4 KiB | 2024-Oct-25 20:11 |
efl-1.27.0-r1.apk | 33.8 MiB | 2024-Oct-25 20:11 |
dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2024-Oct-25 20:11 |
ecos-dev-2.0.10-r0.apk | 27.9 KiB | 2024-Oct-25 20:11 |
dwl-doc-0.7-r0.apk | 3.1 KiB | 2024-Oct-25 20:11 |
eiwd-2.22-r0.apk | 890.1 KiB | 2024-Oct-25 20:11 |
elfio-dev-3.12-r0.apk | 55.0 KiB | 2024-Oct-25 20:11 |
dublin-traceroute-contrib-0.4.2-r4.apk | 2.9 KiB | 2024-Oct-25 20:11 |
dublin-traceroute-dev-0.4.2-r4.apk | 6.9 KiB | 2024-Oct-25 20:11 |
efl-gdb-1.27.0-r1.apk | 1.8 KiB | 2024-Oct-25 20:11 |
dum-0.1.19-r1.apk | 234.4 KiB | 2024-Oct-25 20:11 |
elf_diff-0.7.1-r3.apk | 108.3 KiB | 2024-Oct-25 20:11 |
ecos-2.0.10-r0.apk | 41.4 KiB | 2024-Oct-25 20:11 |
efibootguard-0.16-r0.apk | 104.7 KiB | 2024-Oct-25 20:11 |
dsp-1.9-r2.apk | 98.4 KiB | 2024-Oct-25 20:11 |
dsp-doc-1.9-r2.apk | 7.2 KiB | 2024-Oct-25 20:11 |
drogon-dev-1.9.4-r1.apk | 124.6 KiB | 2024-Oct-25 20:11 |
dnsenum-1.3.2-r0.apk | 21.2 KiB | 2024-Oct-25 20:11 |
dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-Oct-25 20:11 |
dnssec-tools-dev-2.2.3-r12.apk | 186.0 KiB | 2024-Oct-25 20:11 |
dnscrypt-wrapper-0.4.2-r3.apk | 29.8 KiB | 2024-Oct-25 20:11 |
doasedit-1.0.7-r0.apk | 3.6 KiB | 2024-Oct-25 20:11 |
dodo-pyc-0_git20241007-r0.apk | 85.5 KiB | 2024-Oct-25 20:11 |
dodo-0_git20241007-r0.apk | 185.7 KiB | 2024-Oct-25 20:11 |
dnssec-tools-2.2.3-r12.apk | 766.9 KiB | 2024-Oct-25 20:11 |
drawing-lang-1.0.2-r0.apk | 272.0 KiB | 2024-Oct-25 20:11 |
dnsperf-2.14.0-r0.apk | 76.9 KiB | 2024-Oct-25 20:11 |
dotenv-linter-3.3.0-r1.apk | 961.4 KiB | 2024-Oct-25 20:11 |
drawing-1.0.2-r0.apk | 3.2 MiB | 2024-Oct-25 20:11 |
dnsperf-doc-2.14.0-r0.apk | 34.9 KiB | 2024-Oct-25 20:11 |
drogon-doc-1.9.4-r1.apk | 2.3 KiB | 2024-Oct-25 20:11 |
downloader-cli-0.3.4-r1.apk | 2.0 KiB | 2024-Oct-25 20:11 |
dnsenum-doc-1.3.2-r0.apk | 5.2 KiB | 2024-Oct-25 20:11 |
drogon-1.9.4-r1.apk | 1.6 MiB | 2024-Oct-25 20:11 |
drumgizmo-0.9.20-r1.apk | 412.9 KiB | 2024-Oct-25 20:11 |
dlib-19.24.4-r0.apk | 829.5 KiB | 2024-Oct-25 20:11 |
dnssec-tools-doc-2.2.3-r12.apk | 315.4 KiB | 2024-Oct-25 20:11 |
debconf-doc-1.5.82-r0.apk | 26.8 KiB | 2024-Oct-25 20:11 |
detox-2.0.0-r0.apk | 108.4 KiB | 2024-Oct-25 20:11 |
ddgr-fish-completion-2.2-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
daemontools-0.76-r3.apk | 65.5 KiB | 2024-Oct-25 20:11 |
dfu-programmer-1.1.0-r0.apk | 32.6 KiB | 2024-Oct-25 20:11 |
dasht-2.4.0-r0.apk | 14.2 KiB | 2024-Oct-25 20:11 |
dasht-zsh-completion-2.4.0-r0.apk | 2.1 KiB | 2024-Oct-25 20:11 |
dived-doc-1.9.0-r0.apk | 10.8 KiB | 2024-Oct-25 20:11 |
disfetch-3.7-r0.apk | 8.3 KiB | 2024-Oct-25 20:11 |
dfu-programmer-bash-completion-1.1.0-r0.apk | 2.8 KiB | 2024-Oct-25 20:11 |
debconf-bash-completion-1.5.82-r0.apk | 1.9 KiB | 2024-Oct-25 20:11 |
dfl-ipc-0.2.0-r0.apk | 21.5 KiB | 2024-Oct-25 20:11 |
dbus-broker-doc-36-r0.apk | 5.8 KiB | 2024-Oct-25 20:11 |
dislocker-0.7.3-r5.apk | 12.9 KiB | 2024-Oct-25 20:11 |
darts-clone-0_git20181117-r0.apk | 40.5 KiB | 2024-Oct-25 20:11 |
cvs-fast-export-1.65-r0.apk | 46.7 KiB | 2024-Oct-25 20:11 |
dcnnt-doc-0.10.0-r1.apk | 6.6 KiB | 2024-Oct-25 20:11 |
dfl-sni-0.2.0-r0.apk | 34.2 KiB | 2024-Oct-25 20:11 |
dfl-login1-dev-0.2.0-r0.apk | 3.5 KiB | 2024-Oct-25 20:11 |
cyrus-sasl-xoauth2-0.2-r1.apk | 6.8 KiB | 2024-Oct-25 20:11 |
daktilo-0.6.0-r0.apk | 1.8 MiB | 2024-Oct-25 20:11 |
dcnnt-0.10.0-r1.apk | 27.8 KiB | 2024-Oct-25 20:11 |
diskus-0.7.0-r2.apk | 332.4 KiB | 2024-Oct-25 20:11 |
daemontools-openrc-0.76-r3.apk | 2.0 KiB | 2024-Oct-25 20:11 |
daktilo-doc-0.6.0-r0.apk | 8.7 KiB | 2024-Oct-25 20:11 |
dfl-applications-0.2.0-r0.apk | 35.6 KiB | 2024-Oct-25 20:11 |
debconf-lang-1.5.82-r0.apk | 132.4 KiB | 2024-Oct-25 20:11 |
ddgr-bash-completion-2.2-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
dislocker-doc-0.7.3-r5.apk | 6.1 KiB | 2024-Oct-25 20:11 |
daktilo-fish-completion-0.6.0-r0.apk | 1.9 KiB | 2024-Oct-25 20:11 |
darts-clone-dev-0_git20181117-r0.apk | 12.8 KiB | 2024-Oct-25 20:11 |
cyrus-sasl-xoauth2-static-0.2-r1.apk | 7.1 KiB | 2024-Oct-25 20:11 |
dfl-login1-0.2.0-r0.apk | 18.5 KiB | 2024-Oct-25 20:11 |
ddgr-2.2-r0.apk | 20.1 KiB | 2024-Oct-25 20:11 |
dfu-programmer-doc-1.1.0-r0.apk | 5.8 KiB | 2024-Oct-25 20:11 |
decoder-lang-0.6.1-r0.apk | 59.4 KiB | 2024-Oct-25 20:11 |
cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.3 KiB | 2024-Oct-25 20:11 |
dcnnt-pyc-0.10.0-r1.apk | 61.7 KiB | 2024-Oct-25 20:11 |
dbus-broker-36-r0.apk | 81.8 KiB | 2024-Oct-25 20:11 |
cvs-fast-export-doc-1.65-r0.apk | 17.4 KiB | 2024-Oct-25 20:11 |
desed-1.2.1-r1.apk | 403.2 KiB | 2024-Oct-25 20:11 |
ddserver-0_git20200930-r1.apk | 13.3 KiB | 2024-Oct-25 20:11 |
detox-doc-2.0.0-r0.apk | 20.7 KiB | 2024-Oct-25 20:11 |
desed-doc-1.2.1-r1.apk | 2.9 KiB | 2024-Oct-25 20:11 |
dfl-sni-dev-0.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 20:11 |
ddnrs-0.3.0-r0.apk | 966.8 KiB | 2024-Oct-25 20:11 |
dived-1.9.0-r0.apk | 22.8 KiB | 2024-Oct-25 20:11 |
dfl-applications-dev-0.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 20:11 |
dfl-ipc-dev-0.2.0-r0.apk | 3.6 KiB | 2024-Oct-25 20:11 |
ddnrs-openrc-0.3.0-r0.apk | 2.0 KiB | 2024-Oct-25 20:11 |
devil-dev-1.8.0-r0.apk | 12.9 KiB | 2024-Oct-25 20:11 |
deadbeef-soxr-20180801-r0.apk | 6.2 KiB | 2024-Oct-25 20:11 |
devil-1.8.0-r0.apk | 269.2 KiB | 2024-Oct-25 20:11 |
debconf-1.5.82-r0.apk | 69.4 KiB | 2024-Oct-25 20:11 |
dewduct-0.2.3-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
decoder-0.6.1-r0.apk | 2.0 MiB | 2024-Oct-25 20:11 |
cvs-fast-export-tools-1.65-r0.apk | 8.7 KiB | 2024-Oct-25 20:11 |
daktilo-zsh-completion-0.6.0-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
ddgr-doc-2.2-r0.apk | 11.5 KiB | 2024-Oct-25 20:11 |
daktilo-bash-completion-0.6.0-r0.apk | 2.2 KiB | 2024-Oct-25 20:11 |
diskonaut-0.11.0-r3.apk | 454.0 KiB | 2024-Oct-25 20:11 |
ddgr-zsh-completion-2.2-r0.apk | 2.7 KiB | 2024-Oct-25 20:11 |
cz-viator-hourglass-black-20210706-r0.apk | 219.1 KiB | 2024-Oct-25 20:11 |
debconf-utils-1.5.82-r0.apk | 6.7 KiB | 2024-Oct-25 20:11 |
dehydrated-0.7.1-r0.apk | 26.4 KiB | 2024-Oct-25 20:11 |
dasht-doc-2.4.0-r0.apk | 11.4 KiB | 2024-Oct-25 20:11 |
dislocker-libs-0.7.3-r5.apk | 47.5 KiB | 2024-Oct-25 20:11 |
cscope-15.9-r1.apk | 155.1 KiB | 2024-Oct-25 20:11 |
csfml-doc-2.5.2-r0.apk | 204.0 KiB | 2024-Oct-25 20:11 |
csol-1.6.0-r0.apk | 37.2 KiB | 2024-Oct-25 20:11 |
csfml-dev-2.5.2-r0.apk | 77.2 KiB | 2024-Oct-25 20:11 |
curtail-lang-1.11.1-r0.apk | 65.9 KiB | 2024-Oct-25 20:11 |
cups-pdf-3.0.1-r2.apk | 21.3 KiB | 2024-Oct-25 20:11 |
cutechess-doc-1.3.1-r0.apk | 3.6 KiB | 2024-Oct-25 20:11 |
cutechess-1.3.1-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
csmith-doc-2.3.0-r2.apk | 3.1 KiB | 2024-Oct-25 20:11 |
ctorrent-dnh-3.3.2-r2.apk | 98.9 KiB | 2024-Oct-25 20:11 |
csol-doc-1.6.0-r0.apk | 3.8 KiB | 2024-Oct-25 20:11 |
curlftpfs-0.9.2-r3.apk | 26.6 KiB | 2024-Oct-25 20:11 |
cutechess-cli-doc-1.3.1-r0.apk | 6.6 KiB | 2024-Oct-25 20:11 |
cscope-doc-15.9-r1.apk | 7.5 KiB | 2024-Oct-25 20:11 |
csfml-2.5.2-r0.apk | 104.3 KiB | 2024-Oct-25 20:11 |
curtail-1.11.1-r0.apk | 26.7 KiB | 2024-Oct-25 20:11 |
cutechess-cli-1.3.1-r0.apk | 348.6 KiB | 2024-Oct-25 20:11 |
csmith-2.3.0-r2.apk | 329.6 KiB | 2024-Oct-25 20:11 |
curlftpfs-doc-0.9.2-r3.apk | 6.1 KiB | 2024-Oct-25 20:11 |
console_bridge-1.0.2-r0.apk | 9.8 KiB | 2024-Oct-25 20:11 |
crispy-doom-doc-7.0-r0.apk | 106.8 KiB | 2024-Oct-25 20:11 |
console_bridge-dev-1.0.2-r0.apk | 4.7 KiB | 2024-Oct-25 20:11 |
createrepo_c-dev-1.1.4-r0.apk | 31.5 KiB | 2024-Oct-25 20:11 |
crispy-doom-7.0-r0.apk | 1.8 MiB | 2024-Oct-25 20:11 |
crazydiskinfo-1.1.0-r1.apk | 36.0 KiB | 2024-Oct-25 20:11 |
coxeter-dev-3.0-r1.apk | 56.7 KiB | 2024-Oct-25 20:11 |
createrepo_c-doc-1.1.4-r0.apk | 8.7 KiB | 2024-Oct-25 20:11 |
coxeter-libs-3.0-r1.apk | 338.1 KiB | 2024-Oct-25 20:11 |
cpuburn-1.4a_git20160316-r2.apk | 4.1 KiB | 2024-Oct-25 20:11 |
critcl-doc-3.3.1-r0.apk | 787.3 KiB | 2024-Oct-25 20:11 |
crossplane-pyc-0.5.8-r3.apk | 39.2 KiB | 2024-Oct-25 20:11 |
critcl-3.3.1-r0.apk | 433.9 KiB | 2024-Oct-25 20:11 |
coxeter-3.0-r1.apk | 48.5 KiB | 2024-Oct-25 20:11 |
cowsay-doc-3.04-r2.apk | 4.0 KiB | 2024-Oct-25 20:11 |
cowsay-3.04-r2.apk | 18.4 KiB | 2024-Oct-25 20:11 |
crossplane-0.5.8-r3.apk | 30.2 KiB | 2024-Oct-25 20:11 |
createrepo_c-1.1.4-r0.apk | 49.7 KiB | 2024-Oct-25 20:11 |
createrepo_c-bash-completion-1.1.4-r0.apk | 2.9 KiB | 2024-Oct-25 20:11 |
cpiped-0.1.0-r0.apk | 6.8 KiB | 2024-Oct-25 20:11 |
createrepo_c-libs-1.1.4-r0.apk | 89.3 KiB | 2024-Oct-25 20:11 |
clinfo-doc-3.0.23.01.25-r0.apk | 6.5 KiB | 2024-Oct-25 20:11 |
clipit-doc-1.4.5-r3.apk | 2.4 KiB | 2024-Oct-25 20:11 |
commoncpp-tools-7.0.1-r1.apk | 44.0 KiB | 2024-Oct-25 20:11 |
clinfo-3.0.23.01.25-r0.apk | 46.5 KiB | 2024-Oct-25 20:11 |
cmusfm-0.5.0-r0.apk | 15.0 KiB | 2024-Oct-25 20:11 |
cluster-glue-doc-1.0.12-r5.apk | 32.9 KiB | 2024-Oct-25 20:11 |
cliquer-libs-1.22-r2.apk | 26.1 KiB | 2024-Oct-25 20:11 |
cluster-glue-1.0.12-r5.apk | 248.6 KiB | 2024-Oct-25 20:11 |
circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2024-Oct-25 20:11 |
coccinelle-1.1.1-r2.apk | 6.4 MiB | 2024-Oct-25 20:11 |
circuslinux-1.0.3-r1.apk | 19.8 KiB | 2024-Oct-25 20:11 |
clipit-1.4.5-r3.apk | 66.8 KiB | 2024-Oct-25 20:11 |
colormake-0.9.20170221-r0.apk | 4.0 KiB | 2024-Oct-25 20:11 |
cln-doc-1.3.7-r0.apk | 77.8 KiB | 2024-Oct-25 20:11 |
cliquer-1.22-r2.apk | 8.1 KiB | 2024-Oct-25 20:11 |
cluster-glue-dev-1.0.12-r5.apk | 957.2 KiB | 2024-Oct-25 20:11 |
coccinelle-doc-1.1.1-r2.apk | 16.0 KiB | 2024-Oct-25 20:11 |
cln-dev-1.3.7-r0.apk | 1.1 MiB | 2024-Oct-25 20:11 |
cimg-3.4.1-r0.apk | 826.0 KiB | 2024-Oct-25 20:11 |
colorpicker-0_git20201128-r1.apk | 4.2 KiB | 2024-Oct-25 20:11 |
cliquer-dev-1.22-r2.apk | 7.4 KiB | 2024-Oct-25 20:11 |
cluster-glue-libs-1.0.12-r5.apk | 112.1 KiB | 2024-Oct-25 20:11 |
cliquer-static-1.22-r2.apk | 29.8 KiB | 2024-Oct-25 20:11 |
commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2024-Oct-25 20:11 |
colormake-doc-0.9.20170221-r0.apk | 2.7 KiB | 2024-Oct-25 20:11 |
clevis-extra-pins-0_git20230629-r0.apk | 4.7 KiB | 2024-Oct-25 20:11 |
cln-1.3.7-r0.apk | 486.0 KiB | 2024-Oct-25 20:11 |
circuslinux-doc-1.0.3-r1.apk | 18.0 KiB | 2024-Oct-25 20:11 |
commoncpp-7.0.1-r1.apk | 318.1 KiB | 2024-Oct-25 20:11 |
coccinelle-bash-completion-1.1.1-r2.apk | 2.9 KiB | 2024-Oct-25 20:11 |
cliquer-tests-1.22-r2.apk | 24.0 KiB | 2024-Oct-25 20:11 |
clatd-1.6-r0.apk | 12.6 KiB | 2024-Oct-25 20:11 |
commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2024-Oct-25 20:11 |
chicago95-icons-3.0.1_git20240619-r0.apk | 12.0 MiB | 2024-Oct-25 20:11 |
chocolate-doom-3.1.0-r0.apk | 1.6 MiB | 2024-Oct-25 20:11 |
chim-1.1.2-r1.apk | 1.7 MiB | 2024-Oct-25 20:11 |
chim-doc-1.1.2-r1.apk | 2.8 KiB | 2024-Oct-25 20:11 |
chocolate-doom-doc-3.1.0-r0.apk | 231.3 KiB | 2024-Oct-25 20:11 |
charls-dev-2.4.2-r0.apk | 26.6 KiB | 2024-Oct-25 20:11 |
cgo-0.6.1-r1.apk | 10.8 KiB | 2024-Oct-25 20:11 |
cgiirc-0.5.12-r1.apk | 133.1 KiB | 2024-Oct-25 20:11 |
chicago95-fonts-3.0.1_git20240619-r0.apk | 214.8 KiB | 2024-Oct-25 20:11 |
cgo-doc-0.6.1-r1.apk | 4.1 KiB | 2024-Oct-25 20:11 |
checkpolicy-3.6-r0.apk | 354.1 KiB | 2024-Oct-25 20:11 |
cdogs-sdl-2.1.0-r0.apk | 33.6 MiB | 2024-Oct-25 20:11 |
chamo-dev-4.0-r0.apk | 4.1 MiB | 2024-Oct-25 20:11 |
checkpolicy-doc-3.6-r0.apk | 4.2 KiB | 2024-Oct-25 20:11 |
charls-2.4.2-r0.apk | 67.3 KiB | 2024-Oct-25 20:11 |
chicago95-3.0.1_git20240619-r0.apk | 481.1 KiB | 2024-Oct-25 20:11 |
chamo-byte-4.0-r0.apk | 1.5 MiB | 2024-Oct-25 20:11 |
certbot-dns-pdns-0.1.1-r0.apk | 8.9 KiB | 2024-Oct-25 20:11 |
chamo-4.0-r0.apk | 5.8 MiB | 2024-Oct-25 20:11 |
certbot-dns-pdns-pyc-0.1.1-r0.apk | 3.9 KiB | 2024-Oct-25 20:11 |
castor-0.9.0-r2.apk | 711.4 KiB | 2024-Oct-25 20:11 |
catdoc-doc-0.95-r1.apk | 9.2 KiB | 2024-Oct-25 20:11 |
cddlib-doc-0.94m-r2.apk | 863.7 KiB | 2024-Oct-25 20:11 |
castero-pyc-0.9.5-r3.apk | 94.9 KiB | 2024-Oct-25 20:11 |
cddlib-dev-0.94m-r2.apk | 14.1 KiB | 2024-Oct-25 20:11 |
caffeine-ng-4.2.0-r1.apk | 100.4 KiB | 2024-Oct-25 20:11 |
cddlib-0.94m-r2.apk | 218.5 KiB | 2024-Oct-25 20:11 |
caja-gtkhash-plugin-1.5-r0.apk | 27.8 KiB | 2024-Oct-25 20:11 |
caffeine-ng-doc-4.2.0-r1.apk | 3.2 KiB | 2024-Oct-25 20:11 |
cdist-pyc-7.0.0-r6.apk | 127.6 KiB | 2024-Oct-25 20:11 |
cdba-1.0-r2.apk | 8.0 KiB | 2024-Oct-25 20:11 |
cddlib-tools-0.94m-r2.apk | 36.2 KiB | 2024-Oct-25 20:11 |
ccrtp-dev-2.1.2-r0.apk | 52.7 KiB | 2024-Oct-25 20:11 |
cdist-7.0.0-r6.apk | 511.0 KiB | 2024-Oct-25 20:11 |
catdoc-0.95-r1.apk | 112.2 KiB | 2024-Oct-25 20:11 |
caffeine-ng-lang-4.2.0-r1.apk | 34.4 KiB | 2024-Oct-25 20:11 |
catcodec-1.0.5-r2.apk | 13.7 KiB | 2024-Oct-25 20:11 |
cddlib-static-0.94m-r2.apk | 284.7 KiB | 2024-Oct-25 20:11 |
ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2024-Oct-25 20:11 |
cdba-server-1.0-r2.apk | 22.2 KiB | 2024-Oct-25 20:11 |
cargo-run-bin-1.7.2-r0.apk | 447.9 KiB | 2024-Oct-25 20:11 |
cargo-sort-1.0.9_git20240110-r0.apk | 411.8 KiB | 2024-Oct-25 20:11 |
ccrtp-2.1.2-r0.apk | 112.0 KiB | 2024-Oct-25 20:11 |
cc65-2.19-r0.apk | 8.9 MiB | 2024-Oct-25 20:11 |
ccze-0.2.1-r1.apk | 50.8 KiB | 2024-Oct-25 20:11 |
caps2esc-0.3.2-r0.apk | 4.6 KiB | 2024-Oct-25 20:11 |
castero-0.9.5-r3.apk | 51.9 KiB | 2024-Oct-25 20:11 |
care-doc-2.3.0-r1.apk | 7.9 KiB | 2024-Oct-25 20:11 |
cargo-vendor-filterer-0.5.9-r1.apk | 458.4 KiB | 2024-Oct-25 20:11 |
cargo-run-bin-doc-1.7.2-r0.apk | 5.1 KiB | 2024-Oct-25 20:11 |
ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2024-Oct-25 20:11 |
catcodec-doc-1.0.5-r2.apk | 4.9 KiB | 2024-Oct-25 20:11 |
care-2.3.0-r1.apk | 102.4 KiB | 2024-Oct-25 20:11 |
cadence-0.9.2-r0.apk | 1.9 MiB | 2024-Oct-25 20:11 |
ccrtp-doc-2.1.2-r0.apk | 31.3 KiB | 2024-Oct-25 20:11 |
brltty-static-6.7-r0.apk | 24.0 KiB | 2024-Oct-25 20:11 |
boxed-cpp-doc-1.4.3-r0.apk | 5.4 KiB | 2024-Oct-25 20:11 |
bootinfo-0.1.0-r4.apk | 19.4 KiB | 2024-Oct-25 20:11 |
brltty-doc-6.7-r0.apk | 9.4 KiB | 2024-Oct-25 20:11 |
btpd-0.16-r2.apk | 90.6 KiB | 2024-Oct-25 20:11 |
bootterm-0.5-r0.apk | 20.0 KiB | 2024-Oct-25 20:11 |
buildcache-0.28.9-r0.apk | 847.1 KiB | 2024-Oct-25 20:11 |
boinc-screensaver-7.24.3-r0.apk | 140.7 KiB | 2024-Oct-25 20:11 |
bliss-dev-0.77-r1.apk | 113.5 KiB | 2024-Oct-25 20:11 |
boxed-cpp-dev-1.4.3-r0.apk | 6.2 KiB | 2024-Oct-25 20:11 |
bitlbee-mastodon-1.4.5-r0.apk | 52.2 KiB | 2024-Oct-25 20:11 |
brltty-dev-6.7-r0.apk | 140.1 KiB | 2024-Oct-25 20:11 |
boinc-dev-7.24.3-r0.apk | 590.2 KiB | 2024-Oct-25 20:11 |
blackbox-1.20220610-r1.apk | 15.7 KiB | 2024-Oct-25 20:11 |
bootterm-dbg-0.5-r0.apk | 2.3 KiB | 2024-Oct-25 20:11 |
c2rust-0.18.0-r1.apk | 1.9 MiB | 2024-Oct-25 20:11 |
brltty-6.7-r0.apk | 2.2 MiB | 2024-Oct-25 20:11 |
bgs-0.8-r1.apk | 5.6 KiB | 2024-Oct-25 20:11 |
bobcat-doc-4.09.00-r0.apk | 345.4 KiB | 2024-Oct-25 20:11 |
boinc-libs-7.24.3-r0.apk | 213.9 KiB | 2024-Oct-25 20:11 |
bkt-0.8.0-r0.apk | 365.5 KiB | 2024-Oct-25 20:11 |
burp-3.1.4-r0.apk | 203.4 KiB | 2024-Oct-25 20:11 |
blip-0.10-r0.apk | 15.3 KiB | 2024-Oct-25 20:11 |
bgpq4-doc-1.15-r0.apk | 6.3 KiB | 2024-Oct-25 20:11 |
bwrap-oci-doc-0.2-r1.apk | 2.5 KiB | 2024-Oct-25 20:11 |
btfs-2.24-r12.apk | 32.4 KiB | 2024-Oct-25 20:11 |
boxes-2.3.1-r0.apk | 82.1 KiB | 2024-Oct-25 20:11 |
bobcat-4.09.00-r0.apk | 730.3 KiB | 2024-Oct-25 20:11 |
bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2024-Oct-25 20:11 |
bootinfo-pyc-0.1.0-r4.apk | 8.2 KiB | 2024-Oct-25 20:11 |
burp-server-3.1.4-r0.apk | 36.3 KiB | 2024-Oct-25 20:11 |
bump2version-1.0.1-r6.apk | 20.8 KiB | 2024-Oct-25 20:11 |
btfs-doc-2.24-r12.apk | 2.4 KiB | 2024-Oct-25 20:11 |
btpd-doc-0.16-r2.apk | 8.4 KiB | 2024-Oct-25 20:11 |
bobcat-dev-4.09.00-r0.apk | 651.0 KiB | 2024-Oct-25 20:11 |
boinc-doc-7.24.3-r0.apk | 8.0 KiB | 2024-Oct-25 20:11 |
boxes-doc-2.3.1-r0.apk | 7.1 KiB | 2024-Oct-25 20:11 |
boinc-7.24.3-r0.apk | 1.6 MiB | 2024-Oct-25 20:11 |
brial-dev-1.2.11-r4.apk | 1.6 MiB | 2024-Oct-25 20:11 |
birdtray-1.9.0-r1.apk | 421.3 KiB | 2024-Oct-25 20:11 |
bgs-doc-0.8-r1.apk | 2.3 KiB | 2024-Oct-25 20:11 |
boxed-cpp-1.4.3-r0.apk | 1.2 KiB | 2024-Oct-25 20:11 |
bonzomatic-20230615-r0.apk | 649.2 KiB | 2024-Oct-25 20:11 |
bliss-0.77-r1.apk | 74.7 KiB | 2024-Oct-25 20:11 |
boson-0_git20211219-r0.apk | 19.1 KiB | 2024-Oct-25 20:11 |
boinc-lang-7.24.3-r0.apk | 877.0 KiB | 2024-Oct-25 20:11 |
brltty-lang-6.7-r0.apk | 148.7 KiB | 2024-Oct-25 20:11 |
bkt-doc-0.8.0-r0.apk | 7.3 KiB | 2024-Oct-25 20:11 |
boinc-gui-7.24.3-r0.apk | 1.0 MiB | 2024-Oct-25 20:11 |
bitritter-0.1.1-r0.apk | 2.1 MiB | 2024-Oct-25 20:11 |
bwrap-oci-0.2-r1.apk | 17.4 KiB | 2024-Oct-25 20:11 |
brial-1.2.11-r4.apk | 1.1 MiB | 2024-Oct-25 20:11 |
bump2version-pyc-1.0.1-r6.apk | 29.1 KiB | 2024-Oct-25 20:11 |
burp-doc-3.1.4-r0.apk | 99.4 KiB | 2024-Oct-25 20:11 |
blip-doc-0.10-r0.apk | 29.6 KiB | 2024-Oct-25 20:11 |
bitlbee-facebook-1.2.2-r0.apk | 67.4 KiB | 2024-Oct-25 20:11 |
bgpq4-1.15-r0.apk | 32.0 KiB | 2024-Oct-25 20:11 |
beard-0.4-r0.apk | 3.1 KiB | 2024-Oct-25 20:10 |
barnyard2-2.1.14_git20160413-r1.apk | 140.8 KiB | 2024-Oct-25 20:10 |
bees-openrc-0.10-r2.apk | 1.9 KiB | 2024-Oct-25 20:10 |
backup-manager-0.7.15-r1.apk | 55.3 KiB | 2024-Oct-25 20:10 |
avida-0_git20190813-r1.apk | 2.0 MiB | 2024-Oct-25 20:10 |
bchunk-doc-1.2.2-r3.apk | 3.0 KiB | 2024-Oct-25 20:10 |
azure-iot-sdk-c-static-1.11.0-r0.apk | 755.7 KiB | 2024-Oct-25 20:10 |
bananui-demos-2.0.0-r0.apk | 8.9 KiB | 2024-Oct-25 20:10 |
b2sum-20190729-r2.apk | 16.1 KiB | 2024-Oct-25 20:10 |
bankstown-lv2-1.1.0-r0.apk | 163.4 KiB | 2024-Oct-25 20:10 |
bestline-0.0_git20211108-r0.apk | 22.4 KiB | 2024-Oct-25 20:10 |
avarice-2.14-r4.apk | 65.0 KiB | 2024-Oct-25 20:10 |
bestline-dev-0.0_git20211108-r0.apk | 1.7 KiB | 2024-Oct-25 20:10 |
base64c-0.2.1-r0.apk | 4.4 KiB | 2024-Oct-25 20:10 |
berry-lang-1.1.0-r0.apk | 126.7 KiB | 2024-Oct-25 20:10 |
bcg729-1.1.1-r0.apk | 34.4 KiB | 2024-Oct-25 20:10 |
bdfr-2.6.2-r1.apk | 130.9 KiB | 2024-Oct-25 20:10 |
bananui-shell-0.2.0-r0.apk | 103.3 KiB | 2024-Oct-25 20:10 |
bees-0.10-r2.apk | 330.3 KiB | 2024-Oct-25 20:10 |
bake-2.5.1-r0.apk | 121.3 KiB | 2024-Oct-25 20:10 |
avarice-doc-2.14-r4.apk | 9.4 KiB | 2024-Oct-25 20:10 |
bakelite-0.4.2-r0.apk | 43.9 KiB | 2024-Oct-25 20:10 |
base64c-dev-0.2.1-r0.apk | 5.5 KiB | 2024-Oct-25 20:10 |
bartib-1.0.1-r1.apk | 365.3 KiB | 2024-Oct-25 20:10 |
batmon-0.0.1-r0.apk | 448.1 KiB | 2024-Oct-25 20:10 |
bananui-dbg-2.0.0-r0.apk | 156.4 KiB | 2024-Oct-25 20:10 |
bananui-2.0.0-r0.apk | 55.3 KiB | 2024-Oct-25 20:10 |
avra-1.4.2-r0.apk | 39.0 KiB | 2024-Oct-25 20:10 |
bcg729-dev-1.1.1-r0.apk | 3.5 KiB | 2024-Oct-25 20:10 |
b2sum-doc-20190729-r2.apk | 2.7 KiB | 2024-Oct-25 20:10 |
barnyard2-openrc-2.1.14_git20160413-r1.apk | 2.8 KiB | 2024-Oct-25 20:10 |
beard-doc-0.4-r0.apk | 2.5 KiB | 2024-Oct-25 20:10 |
bananui-clock-0.1.0-r0.apk | 7.2 KiB | 2024-Oct-25 20:10 |
bananui-daemons-0.1.0-r0.apk | 45.9 KiB | 2024-Oct-25 20:10 |
avra-dev-1.4.2-r0.apk | 254.7 KiB | 2024-Oct-25 20:10 |
bananui-dev-2.0.0-r0.apk | 84.2 KiB | 2024-Oct-25 20:10 |
bchunk-1.2.2-r3.apk | 7.2 KiB | 2024-Oct-25 20:10 |
apache2-mod-perl-doc-2.0.13-r1.apk | 302.8 KiB | 2024-Oct-25 20:10 |
arc-metacity-20221218-r0.apk | 17.2 KiB | 2024-Oct-25 20:10 |
arc-gnome-20221218-r0.apk | 28.6 KiB | 2024-Oct-25 20:10 |
aravis-viewer-lang-0.8.31-r0.apk | 16.0 KiB | 2024-Oct-25 20:10 |
atomicparsley-20240608-r0.apk | 112.1 KiB | 2024-Oct-25 20:10 |
aqemu-doc-0.9.4-r3.apk | 7.5 KiB | 2024-Oct-25 20:10 |
apt-dater-lang-1.0.4-r4.apk | 13.1 KiB | 2024-Oct-25 20:10 |
aufs-util-dev-20161219-r3.apk | 1.5 KiB | 2024-Oct-25 20:10 |
arc-dark-cinnamon-20221218-r0.apk | 68.4 KiB | 2024-Oct-25 20:10 |
aufs-util-20161219-r3.apk | 188.7 KiB | 2024-Oct-25 20:10 |
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk | 4.8 KiB | 2024-Oct-25 20:10 |
arc-lighter-gtk4-20221218-r0.apk | 112.8 KiB | 2024-Oct-25 20:10 |
arc-lighter-metacity-20221218-r0.apk | 17.3 KiB | 2024-Oct-25 20:10 |
arc-lighter-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-25 20:10 |
arc-theme-20221218-r0.apk | 1.4 KiB | 2024-Oct-25 20:10 |
arc-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-25 20:10 |
apmpkg-1.5.1-r3.apk | 1.6 MiB | 2024-Oct-25 20:10 |
arc-lighter-gtk3-20221218-r0.apk | 124.6 KiB | 2024-Oct-25 20:10 |
apt-dater-1.0.4-r4.apk | 57.6 KiB | 2024-Oct-25 20:10 |
arc-darker-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 20:10 |
apache-mod-auth-openidc-2.4.16.4-r0.apk | 205.8 KiB | 2024-Oct-25 20:10 |
aufs-util-doc-20161219-r3.apk | 33.9 KiB | 2024-Oct-25 20:10 |
arc-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-25 20:10 |
ansiweather-1.19.0-r1.apk | 4.7 KiB | 2024-Oct-25 20:10 |
apache2-mod-perl-dev-2.0.13-r1.apk | 39.8 KiB | 2024-Oct-25 20:10 |
apk-snap-doc-3.1.1-r0.apk | 19.9 KiB | 2024-Oct-25 20:10 |
atool-0.39.0-r4.apk | 17.7 KiB | 2024-Oct-25 20:10 |
arc-dark-gtk3-20221218-r0.apk | 93.3 KiB | 2024-Oct-25 20:10 |
ansible-bender-pyc-0.10.1-r2.apk | 65.0 KiB | 2024-Oct-25 20:10 |
arc-dark-xfwm-20221218-r0.apk | 7.9 KiB | 2024-Oct-25 20:10 |
autoremove-torrents-pyc-1.5.5-r0.apk | 53.8 KiB | 2024-Oct-25 20:10 |
arc-darker-gtk4-20221218-r0.apk | 110.2 KiB | 2024-Oct-25 20:10 |
apt-mirror-doc-0.5.4-r0.apk | 4.6 KiB | 2024-Oct-25 20:10 |
arc-gtk3-20221218-r0.apk | 125.9 KiB | 2024-Oct-25 20:10 |
ats2-0.4.2-r0.apk | 1.8 MiB | 2024-Oct-25 20:10 |
arc-lighter-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 20:10 |
apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.0 KiB | 2024-Oct-25 20:10 |
arc-darker-gtk2-20221218-r0.apk | 38.5 KiB | 2024-Oct-25 20:10 |
atool-bash-completion-0.39.0-r4.apk | 2.0 KiB | 2024-Oct-25 20:10 |
ansible-bender-0.10.1-r2.apk | 36.3 KiB | 2024-Oct-25 20:10 |
arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2024-Oct-25 20:10 |
apk-readme-0.1-r1.apk | 1.3 KiB | 2024-Oct-25 20:10 |
aravis-viewer-0.8.31-r0.apk | 69.8 KiB | 2024-Oct-25 20:10 |
antimicrox-3.4.0-r0.apk | 1.7 MiB | 2024-Oct-25 20:10 |
atlantik-lang-3.5.10_git20240323-r0.apk | 68.6 KiB | 2024-Oct-25 20:10 |
apt-mirror-0.5.4-r0.apk | 9.4 KiB | 2024-Oct-25 20:10 |
aravis-dev-0.8.31-r0.apk | 34.3 KiB | 2024-Oct-25 20:10 |
apulse-0.1.13-r2.apk | 43.3 KiB | 2024-Oct-25 20:10 |
antimicrox-doc-3.4.0-r0.apk | 23.1 KiB | 2024-Oct-25 20:10 |
arc-dark-gnome-20221218-r0.apk | 27.1 KiB | 2024-Oct-25 20:10 |
authenticator-rs-lang-0.7.5-r0.apk | 3.7 KiB | 2024-Oct-25 20:10 |
aravis-libs-0.8.31-r0.apk | 188.5 KiB | 2024-Oct-25 20:10 |
autoremove-torrents-1.5.5-r0.apk | 35.4 KiB | 2024-Oct-25 20:10 |
apmpkg-fish-completion-1.5.1-r3.apk | 2.1 KiB | 2024-Oct-25 20:10 |
arc-darker-xfwm-20221218-r0.apk | 7.9 KiB | 2024-Oct-25 20:10 |
atool-doc-0.39.0-r4.apk | 9.6 KiB | 2024-Oct-25 20:10 |
atlantik-doc-3.5.10_git20240323-r0.apk | 79.3 KiB | 2024-Oct-25 20:10 |
autotrash-0.4.7-r0.apk | 22.8 KiB | 2024-Oct-25 20:10 |
apache-mod-auth-openidc-static-2.4.16.4-r0.apk | 259.5 KiB | 2024-Oct-25 20:10 |
arc-lighter-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-25 20:10 |
angband-4.2.5-r0.apk | 22.6 MiB | 2024-Oct-25 20:10 |
apache2-mod-perl-2.0.13-r1.apk | 697.5 KiB | 2024-Oct-25 20:10 |
aspell-es-1.11-r0.apk | 533.0 KiB | 2024-Oct-25 20:10 |
asymptote-2.91-r0.apk | 1.4 MiB | 2024-Oct-25 20:10 |
apk-snap-3.1.1-r0.apk | 6.6 KiB | 2024-Oct-25 20:10 |
arc-cinnamon-20221218-r0.apk | 68.0 KiB | 2024-Oct-25 20:10 |
atlantik-3.5.10_git20240323-r0.apk | 396.1 KiB | 2024-Oct-25 20:10 |
apache2-mod-realdoc-1-r1.apk | 5.1 KiB | 2024-Oct-25 20:10 |
apache2-mod-authnz-external-3.3.3-r0.apk | 8.3 KiB | 2024-Oct-25 20:10 |
arc-20221218-r0.apk | 1.7 KiB | 2024-Oct-25 20:10 |
apmpkg-zsh-completion-1.5.1-r3.apk | 2.4 KiB | 2024-Oct-25 20:10 |
arc-darker-gtk3-20221218-r0.apk | 123.7 KiB | 2024-Oct-25 20:10 |
apmpkg-doc-1.5.1-r3.apk | 3.1 KiB | 2024-Oct-25 20:10 |
autoconf-policy-0.1-r0.apk | 5.5 KiB | 2024-Oct-25 20:10 |
autotrash-pyc-0.4.7-r0.apk | 14.1 KiB | 2024-Oct-25 20:10 |
apulse-doc-0.1.13-r2.apk | 2.8 KiB | 2024-Oct-25 20:10 |
ansiweather-doc-1.19.0-r1.apk | 3.0 KiB | 2024-Oct-25 20:10 |
arc-dark-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 20:10 |
asymptote-doc-2.91-r0.apk | 2.8 MiB | 2024-Oct-25 20:10 |
aqemu-0.9.4-r3.apk | 1.7 MiB | 2024-Oct-25 20:10 |
arc-darker-metacity-20221218-r0.apk | 17.5 KiB | 2024-Oct-25 20:10 |
arc-dark-metacity-20221218-r0.apk | 17.5 KiB | 2024-Oct-25 20:10 |
ansible-bender-doc-0.10.1-r2.apk | 10.1 KiB | 2024-Oct-25 20:10 |
arc-dark-gtk4-20221218-r0.apk | 86.2 KiB | 2024-Oct-25 20:10 |
apmpkg-bash-completion-1.5.1-r3.apk | 2.2 KiB | 2024-Oct-25 20:10 |
armagetronad-doc-0.2.9.1.1-r0.apk | 92.0 KiB | 2024-Oct-25 20:10 |
authenticator-rs-0.7.5-r0.apk | 1.9 MiB | 2024-Oct-25 20:10 |
apache2-mod-perl-dbg-2.0.13-r1.apk | 66.2 KiB | 2024-Oct-25 20:10 |
apt-dater-doc-1.0.4-r4.apk | 9.9 KiB | 2024-Oct-25 20:10 |
arc-dark-gtk2-20221218-r0.apk | 38.4 KiB | 2024-Oct-25 20:10 |
aravis-0.8.31-r0.apk | 45.8 KiB | 2024-Oct-25 20:10 |
autoremove-torrents-doc-1.5.5-r0.apk | 11.6 KiB | 2024-Oct-25 20:10 |
apache-mod-auth-gssapi-1.6.5-r1.apk | 58.1 KiB | 2024-Oct-25 20:10 |
armagetronad-0.2.9.1.1-r0.apk | 1.6 MiB | 2024-Oct-25 20:10 |
arc-gtk4-20221218-r0.apk | 113.5 KiB | 2024-Oct-25 20:10 |
agrep-0.8.0-r2.apk | 8.1 KiB | 2024-Oct-25 20:10 |
anari-sdk-0.7.2-r0.apk | 298.8 KiB | 2024-Oct-25 20:10 |
alarmwakeup-0.2.1-r0.apk | 7.7 KiB | 2024-Oct-25 20:10 |
amiitool-2-r2.apk | 8.0 KiB | 2024-Oct-25 20:10 |
anarch-1.0-r1.apk | 96.8 KiB | 2024-Oct-25 20:10 |
ampy-pyc-1.1.0-r5.apk | 19.6 KiB | 2024-Oct-25 20:10 |
agate-openrc-3.3.8-r0.apk | 2.0 KiB | 2024-Oct-25 20:10 |
afetch-doc-2.2.0-r1.apk | 13.6 KiB | 2024-Oct-25 20:10 |
android-apkeep-0.17.0-r0.apk | 1.9 MiB | 2024-Oct-25 20:10 |
amdgpu-fan-0.1.0-r5.apk | 14.0 KiB | 2024-Oct-25 20:10 |
agate-3.3.8-r0.apk | 733.6 KiB | 2024-Oct-25 20:10 |
afetch-2.2.0-r1.apk | 9.3 KiB | 2024-Oct-25 20:10 |
amdgpu-fan-pyc-0.1.0-r5.apk | 9.6 KiB | 2024-Oct-25 20:10 |
alarmwakeup-dev-0.2.1-r0.apk | 2.6 KiB | 2024-Oct-25 20:10 |
amber-0.3.3-r0.apk | 414.5 KiB | 2024-Oct-25 20:10 |
aide-0.18.8-r0.apk | 77.1 KiB | 2024-Oct-25 20:10 |
aide-doc-0.18.8-r0.apk | 13.8 KiB | 2024-Oct-25 20:10 |
alttab-1.7.1-r0.apk | 36.9 KiB | 2024-Oct-25 20:10 |
agrep-doc-0.8.0-r2.apk | 4.1 KiB | 2024-Oct-25 20:10 |
ampy-doc-1.1.0-r5.apk | 3.4 KiB | 2024-Oct-25 20:10 |
anarch-doc-1.0-r1.apk | 18.0 KiB | 2024-Oct-25 20:10 |
alarmwakeup-libs-0.2.1-r0.apk | 4.6 KiB | 2024-Oct-25 20:10 |
anari-sdk-static-0.7.2-r0.apk | 184.8 KiB | 2024-Oct-25 20:10 |
ampy-1.1.0-r5.apk | 15.8 KiB | 2024-Oct-25 20:10 |
alttab-doc-1.7.1-r0.apk | 10.3 KiB | 2024-Oct-25 20:10 |
anari-sdk-dev-0.7.2-r0.apk | 58.6 KiB | 2024-Oct-25 20:10 |
alarmwakeup-dbg-0.2.1-r0.apk | 16.8 KiB | 2024-Oct-25 20:10 |
alarmwakeup-utils-0.2.1-r0.apk | 4.2 KiB | 2024-Oct-25 20:10 |
advancemame-menu-3.9-r4.apk | 953.1 KiB | 2024-Oct-25 20:10 |
9base-6-r2.apk | 1.9 MiB | 2024-Oct-25 20:10 |
adjtimex-doc-1.29-r0.apk | 7.1 KiB | 2024-Oct-25 20:10 |
ace-of-penguins-1.4-r3.apk | 156.2 KiB | 2024-Oct-25 20:10 |
3proxy-0.9.4-r1.apk | 373.9 KiB | 2024-Oct-25 20:10 |
3proxy-openrc-0.9.4-r1.apk | 1.7 KiB | 2024-Oct-25 20:10 |
a2jmidid-doc-9-r3.apk | 4.2 KiB | 2024-Oct-25 20:10 |
advancescan-doc-1.18-r1.apk | 7.3 KiB | 2024-Oct-25 20:10 |
advancemame-3.9-r4.apk | 12.0 MiB | 2024-Oct-25 20:10 |
abc-0_git20240102-r0.apk | 4.9 MiB | 2024-Oct-25 20:10 |
advancemame-doc-3.9-r4.apk | 373.6 KiB | 2024-Oct-25 20:10 |
ace-of-penguins-doc-1.4-r3.apk | 48.6 KiB | 2024-Oct-25 20:10 |
9base-troff-6-r2.apk | 486.8 KiB | 2024-Oct-25 20:10 |
advancemame-data-3.9-r4.apk | 5.8 MiB | 2024-Oct-25 20:10 |
a2jmidid-9-r3.apk | 30.5 KiB | 2024-Oct-25 20:10 |
admesh-doc-0.98.5-r0.apk | 23.4 KiB | 2024-Oct-25 20:10 |
9base-doc-6-r2.apk | 63.7 KiB | 2024-Oct-25 20:10 |
admesh-0.98.5-r0.apk | 27.3 KiB | 2024-Oct-25 20:10 |
admesh-dev-0.98.5-r0.apk | 4.0 KiB | 2024-Oct-25 20:10 |
advancescan-1.18-r1.apk | 284.2 KiB | 2024-Oct-25 20:10 |
3proxy-doc-0.9.4-r1.apk | 24.9 KiB | 2024-Oct-25 20:10 |
adjtimex-1.29-r0.apk | 20.7 KiB | 2024-Oct-25 20:10 |
advancemame-mess-3.9-r4.apk | 3.7 MiB | 2024-Oct-25 20:10 |