Parent directory/ | - | - |
zydis-doc-4.1.0-r0.apk | 1.6 MiB | 2024-Oct-25 19:04 |
zydis-dev-4.1.0-r0.apk | 60.8 KiB | 2024-Oct-25 19:04 |
zydis-4.1.0-r0.apk | 206.0 KiB | 2024-Oct-25 19:04 |
zycore-doc-1.5.0-r0.apk | 394.1 KiB | 2024-Oct-25 19:04 |
zycore-dev-1.5.0-r0.apk | 38.4 KiB | 2024-Oct-25 19:04 |
zycore-1.5.0-r0.apk | 20.7 KiB | 2024-Oct-25 19:04 |
zvbi-doc-0.2.43-r0.apk | 21.0 KiB | 2024-Dec-07 20:32 |
zvbi-0.2.43-r0.apk | 170.4 KiB | 2024-Dec-07 20:32 |
zutty-0.14-r0.apk | 141.9 KiB | 2024-Oct-25 19:04 |
zulip-desktop-5.11.1-r2.apk | 2.2 MiB | 2024-Oct-31 04:02 |
zsh-manydots-magic-0_git20230607-r1.apk | 2.9 KiB | 2024-Oct-25 19:04 |
zsh-histdb-skim-0.8.6-r0.apk | 748.7 KiB | 2024-Oct-25 19:04 |
zsh-fzf-tab-0_git20220331-r1.apk | 16.1 KiB | 2024-Oct-25 19:04 |
zrepl-zsh-completion-0.6.1-r6.apk | 1.9 KiB | 2024-Oct-25 19:04 |
zrepl-openrc-0.6.1-r6.apk | 1.7 KiB | 2024-Oct-25 19:04 |
zrepl-bash-completion-0.6.1-r6.apk | 4.5 KiB | 2024-Oct-25 19:04 |
zrepl-0.6.1-r6.apk | 6.6 MiB | 2024-Oct-25 19:04 |
zot-openrc-2.1.1-r0.apk | 2.0 KiB | 2024-Dec-15 19:29 |
zot-exporter-2.1.1-r0.apk | 4.7 MiB | 2024-Dec-15 19:29 |
zot-doc-2.1.1-r0.apk | 7.6 KiB | 2024-Dec-15 19:29 |
zot-cli-zsh-completion-2.1.1-r0.apk | 4.0 KiB | 2024-Dec-15 19:29 |
zot-cli-fish-completion-2.1.1-r0.apk | 4.3 KiB | 2024-Dec-15 19:29 |
zot-cli-bash-completion-2.1.1-r0.apk | 5.0 KiB | 2024-Dec-15 19:29 |
zot-cli-2.1.1-r0.apk | 9.0 MiB | 2024-Dec-15 19:29 |
zot-2.1.1-r0.apk | 65.7 MiB | 2024-Dec-15 19:29 |
znc-push-0_git20220823-r8.apk | 90.0 KiB | 2024-Oct-25 19:04 |
znc-playback-0_git20210503-r8.apk | 19.3 KiB | 2024-Oct-25 19:04 |
znc-clientbuffer-1.0.48-r8.apk | 17.7 KiB | 2024-Oct-25 19:04 |
znc-backlog-0_git20210503-r8.apk | 21.4 KiB | 2024-Oct-25 19:04 |
zita-resampler-doc-1.10.1-r0.apk | 4.1 KiB | 2024-Oct-25 19:04 |
zita-resampler-dev-1.10.1-r0.apk | 3.4 KiB | 2024-Oct-25 19:04 |
zita-resampler-1.10.1-r0.apk | 17.7 KiB | 2024-Oct-25 19:04 |
zita-njbridge-doc-0.4.8-r1.apk | 5.2 KiB | 2024-Oct-25 19:04 |
zita-njbridge-0.4.8-r1.apk | 28.5 KiB | 2024-Oct-25 19:04 |
zile-doc-2.6.2-r1.apk | 15.8 KiB | 2024-Oct-25 19:04 |
zile-2.6.2-r1.apk | 119.2 KiB | 2024-Oct-25 19:04 |
zfsbootmenu-doc-2.3.0-r1.apk | 15.8 KiB | 2024-Oct-25 19:04 |
zfsbootmenu-2.3.0-r1.apk | 128.2 KiB | 2024-Oct-25 19:04 |
zfs-src-2.2.4-r0.apk | 32.5 MiB | 2024-Oct-25 19:04 |
zed-0.138.4-r4.apk | 33.0 MiB | 2024-Oct-25 19:04 |
zarf-0.32.1-r5.apk | 55.5 MiB | 2024-Oct-25 19:04 |
zarchive-libs-0.1.2-r2.apk | 23.2 KiB | 2024-Oct-25 19:04 |
zarchive-dev-0.1.2-r2.apk | 6.8 KiB | 2024-Oct-25 19:04 |
zarchive-0.1.2-r2.apk | 15.5 KiB | 2024-Oct-25 19:04 |
zaproxy-2.15.0-r0.apk | 220.9 MiB | 2024-Nov-24 10:45 |
zapret-openrc-0.0.0_git20220125-r1.apk | 2.1 KiB | 2024-Oct-25 19:04 |
zapret-doc-0.0.0_git20220125-r1.apk | 98.5 KiB | 2024-Oct-25 19:04 |
zapret-0.0.0_git20220125-r1.apk | 81.2 KiB | 2024-Oct-25 19:04 |
zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2024-Oct-25 19:04 |
z-doc-1.12-r0.apk | 3.9 KiB | 2024-Oct-25 19:04 |
z-1.12-r0.apk | 4.6 KiB | 2024-Oct-25 19:04 |
yubikey-agent-0.1.6-r7.apk | 1.7 MiB | 2024-Oct-25 19:04 |
ytt-0.47.0-r5.apk | 4.3 MiB | 2024-Oct-25 19:04 |
ytmdl-zsh-completion-2024.08.15.1-r0.apk | 2.1 KiB | 2024-Oct-25 19:04 |
ytmdl-pyc-2024.08.15.1-r0.apk | 78.1 KiB | 2024-Oct-25 19:04 |
ytmdl-bash-completion-2024.08.15.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:04 |
ytmdl-2024.08.15.1-r0.apk | 50.0 KiB | 2024-Oct-25 19:04 |
youtube-viewer-gtk-3.11.1-r0.apk | 171.0 KiB | 2024-Oct-25 19:04 |
youtube-viewer-doc-3.11.1-r0.apk | 40.8 KiB | 2024-Oct-25 19:04 |
youtube-viewer-3.11.1-r0.apk | 83.5 KiB | 2024-Oct-25 19:04 |
youtube-tui-0.8.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:04 |
youki-dbg-0.4.1-r0.apk | 4.6 KiB | 2024-Oct-25 19:04 |
youki-0.4.1-r0.apk | 1.5 MiB | 2024-Oct-25 19:04 |
yosys-dev-0.42-r0.apk | 118.7 KiB | 2024-Oct-25 19:04 |
yosys-0.42-r0.apk | 18.7 MiB | 2024-Oct-25 19:04 |
yoshimi-doc-2.3.2-r0.apk | 4.5 MiB | 2024-Oct-25 19:04 |
yoshimi-2.3.2-r0.apk | 5.9 MiB | 2024-Oct-25 19:04 |
yoe-kiosk-browser-0_git20231118-r0.apk | 9.0 KiB | 2024-Oct-25 19:04 |
yodl-doc-4.02.00-r1.apk | 59.0 KiB | 2024-Oct-25 19:04 |
yodl-4.02.00-r1.apk | 141.8 KiB | 2024-Oct-25 19:04 |
yices2-libs-2.6.4-r0.apk | 838.5 KiB | 2024-Oct-25 19:04 |
yices2-dev-2.6.4-r0.apk | 41.1 KiB | 2024-Oct-25 19:04 |
yices2-2.6.4-r0.apk | 2.2 MiB | 2024-Oct-25 19:04 |
yeti-1.1-r0.apk | 816.0 KiB | 2024-Oct-25 19:04 |
ydcv-zsh-completion-0.7-r8.apk | 2.2 KiB | 2024-Oct-25 19:04 |
ydcv-pyc-0.7-r8.apk | 10.6 KiB | 2024-Oct-25 19:04 |
ydcv-0.7-r8.apk | 20.0 KiB | 2024-Oct-25 19:04 |
yazi-zsh-completion-0.4.2-r0.apk | 2.1 KiB | 2024-Dec-20 16:37 |
yazi-fish-completion-0.4.2-r0.apk | 1.8 KiB | 2024-Dec-20 16:37 |
yazi-doc-0.4.2-r0.apk | 2.2 KiB | 2024-Dec-20 16:37 |
yazi-cli-0.4.2-r0.apk | 965.1 KiB | 2024-Dec-20 16:37 |
yazi-bash-completion-0.4.2-r0.apk | 2.0 KiB | 2024-Dec-20 16:37 |
yazi-0.4.2-r0.apk | 4.4 MiB | 2024-Dec-20 16:37 |
yass-2.5.0-r0.apk | 14.1 MiB | 2024-Oct-25 19:04 |
yaru-theme-viridian-23.10.0-r1.apk | 759.6 KiB | 2024-Dec-01 02:29 |
yaru-theme-sage-23.10.0-r1.apk | 762.5 KiB | 2024-Dec-01 02:29 |
yaru-theme-red-23.10.0-r1.apk | 760.4 KiB | 2024-Dec-01 02:29 |
yaru-theme-purple-23.10.0-r1.apk | 756.5 KiB | 2024-Dec-01 02:29 |
yaru-theme-prussiangreen-23.10.0-r1.apk | 759.7 KiB | 2024-Dec-01 02:29 |
yaru-theme-olive-23.10.0-r1.apk | 759.1 KiB | 2024-Dec-01 02:29 |
yaru-theme-mate-23.10.0-r1.apk | 727.4 KiB | 2024-Dec-01 02:29 |
yaru-theme-magenta-23.10.0-r1.apk | 761.4 KiB | 2024-Dec-01 02:29 |
yaru-theme-hdpi-23.10.0-r1.apk | 73.2 KiB | 2024-Dec-01 02:29 |
yaru-theme-blue-23.10.0-r1.apk | 764.6 KiB | 2024-Dec-01 02:29 |
yaru-theme-bark-23.10.0-r1.apk | 762.9 KiB | 2024-Dec-01 02:29 |
yaru-theme-23.10.0-r1.apk | 839.5 KiB | 2024-Dec-01 02:29 |
yaru-sounds-23.10.0-r1.apk | 675.6 KiB | 2024-Dec-01 02:29 |
yaru-shell-23.10.0-r1.apk | 735.5 KiB | 2024-Dec-01 02:29 |
yaru-schemas-23.10.0-r1.apk | 1.8 KiB | 2024-Dec-01 02:29 |
yaru-icon-theme-viridian-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-sage-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-red-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-purple-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-prussiangreen-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-olive-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-mate-23.10.0-r1.apk | 1.2 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-magenta-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-blue-23.10.0-r1.apk | 1.1 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-bark-23.10.0-r1.apk | 1.0 MiB | 2024-Dec-01 02:29 |
yaru-icon-theme-23.10.0-r1.apk | 34.7 MiB | 2024-Dec-01 02:29 |
yaru-common-23.10.0-r1.apk | 4.5 KiB | 2024-Dec-01 02:29 |
yarr-openrc-2.4-r8.apk | 2.0 KiB | 2024-Oct-25 19:04 |
yarr-doc-2.4-r8.apk | 7.7 KiB | 2024-Oct-25 19:04 |
yarr-2.4-r8.apk | 4.1 MiB | 2024-Oct-25 19:04 |
yarn-berry-4.5.3-r0.apk | 970.3 KiB | 2024-Dec-02 23:37 |
yamlfmt-doc-0.12.1-r1.apk | 5.5 KiB | 2024-Oct-25 19:04 |
yamlfmt-0.12.1-r1.apk | 1.5 MiB | 2024-Oct-25 19:04 |
yamkix-pyc-0.10.0-r1.apk | 11.8 KiB | 2024-Oct-25 19:04 |
yamkix-0.10.0-r1.apk | 14.2 KiB | 2024-Oct-25 19:04 |
yaegi-0.16.1-r3.apk | 7.2 MiB | 2024-Oct-25 19:04 |
xwaylandvideobridge-lang-0.4.0-r1.apk | 11.0 KiB | 2024-Oct-25 19:04 |
xwaylandvideobridge-0.4.0-r1.apk | 47.1 KiB | 2024-Oct-25 19:04 |
xvkbd-doc-4.1-r2.apk | 10.8 KiB | 2024-Oct-25 19:04 |
xvkbd-4.1-r2.apk | 294.6 KiB | 2024-Oct-25 19:04 |
xvidtune-doc-1.0.4-r0.apk | 4.2 KiB | 2024-Oct-25 19:04 |
xvidtune-1.0.4-r0.apk | 17.0 KiB | 2024-Oct-25 19:04 |
xva-img-1.5-r0.apk | 16.5 KiB | 2024-Oct-25 19:04 |
xtl-0.7.7-r0.apk | 110.6 KiB | 2024-Oct-25 19:04 |
xtensor-0.24.7-r0.apk | 270.2 KiB | 2024-Oct-25 19:04 |
xsoldier-doc-1.8-r2.apk | 2.6 KiB | 2024-Oct-25 19:04 |
xsoldier-1.8-r2.apk | 66.7 KiB | 2024-Oct-25 19:04 |
xsecurelock-doc-1.9.0-r1.apk | 17.8 KiB | 2024-Oct-25 19:04 |
xsecurelock-1.9.0-r1.apk | 62.5 KiB | 2024-Oct-25 19:04 |
xsane-lang-0.999-r2.apk | 440.3 KiB | 2024-Oct-25 19:04 |
xsane-doc-0.999-r2.apk | 4.3 KiB | 2024-Oct-25 19:04 |
xsane-0.999-r2.apk | 1.5 MiB | 2024-Oct-25 19:04 |
xosview-doc-1.24-r0.apk | 12.5 KiB | 2024-Oct-25 19:04 |
xosview-1.24-r0.apk | 132.6 KiB | 2024-Oct-25 19:04 |
xonsh-pyc-0.18.2-r0.apk | 1.0 MiB | 2024-Oct-25 19:04 |
xonsh-0.18.2-r0.apk | 588.3 KiB | 2024-Oct-25 19:04 |
xone-src-0.3_git20230517-r0.apk | 43.4 KiB | 2024-Oct-25 19:04 |
xmppipe-0.16.0-r1.apk | 15.5 KiB | 2024-Oct-25 19:04 |
xmpp-dns-0.2.4-r19.apk | 1.7 MiB | 2024-Oct-25 19:04 |
xmp-doc-4.2.0-r0.apk | 5.3 KiB | 2024-Oct-25 19:04 |
xmp-4.2.0-r0.apk | 21.6 KiB | 2024-Oct-25 19:04 |
xml2rfc-pyc-3.25.0-r0.apk | 403.8 KiB | 2024-Dec-12 19:35 |
xml2rfc-3.25.0-r0.apk | 349.7 KiB | 2024-Dec-12 19:35 |
xmag-doc-1.0.8-r0.apk | 4.7 KiB | 2024-Oct-25 19:04 |
xmag-1.0.8-r0.apk | 16.4 KiB | 2024-Oct-25 19:04 |
xload-doc-1.1.4-r0.apk | 3.2 KiB | 2024-Oct-25 19:04 |
xload-1.1.4-r0.apk | 7.0 KiB | 2024-Oct-25 19:04 |
xlhtml-doc-0.5.1-r0.apk | 2.5 KiB | 2024-Oct-25 19:04 |
xlhtml-0.5.1-r0.apk | 11.1 KiB | 2024-Oct-25 19:04 |
xkb-switch-doc-1.8.5-r0.apk | 1.9 KiB | 2024-Oct-25 19:04 |
xkb-switch-1.8.5-r0.apk | 16.3 KiB | 2024-Oct-25 19:04 |
xisxwayland-doc-2-r1.apk | 2.0 KiB | 2024-Oct-25 19:04 |
xisxwayland-2-r1.apk | 3.9 KiB | 2024-Oct-25 19:04 |
xiccd-doc-0.3.0_git20211219-r1.apk | 3.3 KiB | 2024-Oct-25 19:04 |
xiccd-0.3.0_git20211219-r1.apk | 16.4 KiB | 2024-Oct-25 19:04 |
xgalaga-doc-2.1.1.0-r1.apk | 2.6 KiB | 2024-Oct-25 19:04 |
xgalaga-2.1.1.0-r1.apk | 310.7 KiB | 2024-Oct-25 19:04 |
xfe-xfw-1.46.2-r0.apk | 284.0 KiB | 2024-Oct-25 19:04 |
xfe-xfp-1.46.2-r0.apk | 243.1 KiB | 2024-Oct-25 19:04 |
xfe-xfi-1.46.2-r0.apk | 268.2 KiB | 2024-Oct-25 19:04 |
xfe-lang-1.46.2-r0.apk | 533.7 KiB | 2024-Oct-25 19:04 |
xfe-doc-1.46.2-r0.apk | 4.0 KiB | 2024-Oct-25 19:04 |
xfe-1.46.2-r0.apk | 1.3 MiB | 2024-Oct-25 19:04 |
xfd-doc-1.1.4-r0.apk | 4.9 KiB | 2024-Oct-25 19:04 |
xfd-1.1.4-r0.apk | 13.7 KiB | 2024-Oct-25 19:04 |
xfce4-verve-plugin-lang-2.0.3-r0.apk | 40.3 KiB | 2024-Oct-25 19:04 |
xfce4-verve-plugin-2.0.3-r0.apk | 18.2 KiB | 2024-Oct-25 19:04 |
xfce4-timer-plugin-lang-1.7.2-r0.apk | 48.8 KiB | 2024-Oct-25 19:04 |
xfce4-timer-plugin-1.7.2-r0.apk | 26.3 KiB | 2024-Oct-25 19:04 |
xfce4-systemload-plugin-lang-1.3.2-r0.apk | 38.8 KiB | 2024-Oct-25 19:04 |
xfce4-systemload-plugin-1.3.2-r0.apk | 31.3 KiB | 2024-Oct-25 19:04 |
xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk | 20.7 KiB | 2024-Oct-25 19:04 |
xfce4-smartbookmark-plugin-0.5.2-r0.apk | 9.5 KiB | 2024-Oct-25 19:04 |
xfce4-places-plugin-lang-1.8.3-r0.apk | 54.0 KiB | 2024-Oct-25 19:04 |
xfce4-places-plugin-1.8.3-r0.apk | 29.8 KiB | 2024-Oct-25 19:04 |
xfce4-panel-profiles-lang-1.0.14-r1.apk | 44.3 KiB | 2024-Oct-25 19:04 |
xfce4-panel-profiles-doc-1.0.14-r1.apk | 19.4 KiB | 2024-Oct-25 19:04 |
xfce4-panel-profiles-1.0.14-r1.apk | 56.5 KiB | 2024-Oct-25 19:04 |
xfce4-netload-plugin-lang-1.4.1-r0.apk | 44.5 KiB | 2024-Oct-25 19:04 |
xfce4-netload-plugin-1.4.1-r0.apk | 24.9 KiB | 2024-Oct-25 19:04 |
xfce4-mpc-plugin-lang-0.5.3-r0.apk | 37.9 KiB | 2024-Oct-25 19:04 |
xfce4-mpc-plugin-0.5.3-r0.apk | 18.9 KiB | 2024-Oct-25 19:04 |
xfce4-mixer-lang-4.18.1-r2.apk | 58.8 KiB | 2024-Oct-25 19:04 |
xfce4-mixer-doc-4.18.1-r2.apk | 2.5 KiB | 2024-Oct-25 19:04 |
xfce4-mixer-4.18.1-r2.apk | 86.6 KiB | 2024-Oct-25 19:04 |
xfce4-mailwatch-plugin-lang-1.3.1-r1.apk | 149.3 KiB | 2024-Oct-25 19:04 |
xfce4-mailwatch-plugin-1.3.1-r1.apk | 54.2 KiB | 2024-Oct-25 19:04 |
xfce4-hamster-plugin-lang-1.17-r0.apk | 5.1 KiB | 2024-Oct-25 19:04 |
xfce4-hamster-plugin-1.17-r0.apk | 37.9 KiB | 2024-Oct-25 19:04 |
xfce4-fsguard-plugin-lang-1.1.3-r0.apk | 30.6 KiB | 2024-Oct-25 19:04 |
xfce4-fsguard-plugin-1.1.3-r0.apk | 51.8 KiB | 2024-Oct-25 19:04 |
xfce4-docklike-plugin-lang-0.4.2-r0.apk | 36.1 KiB | 2024-Oct-25 19:04 |
xfce4-docklike-plugin-0.4.2-r0.apk | 70.1 KiB | 2024-Oct-25 19:04 |
xfce4-diskperf-plugin-lang-2.7.0-r0.apk | 50.4 KiB | 2024-Oct-25 19:04 |
xfce4-diskperf-plugin-2.7.0-r0.apk | 15.9 KiB | 2024-Oct-25 19:04 |
xfce4-calculator-plugin-lang-0.7.2-r0.apk | 22.1 KiB | 2024-Oct-25 19:04 |
xfce4-calculator-plugin-0.7.2-r0.apk | 64.4 KiB | 2024-Oct-25 19:04 |
xf86-video-vboxvideo-doc-1.0.0-r1.apk | 3.2 KiB | 2024-Oct-25 19:04 |
xf86-video-vboxvideo-1.0.0-r1.apk | 17.0 KiB | 2024-Oct-25 19:04 |
xendmail-doc-0.4.3-r0.apk | 2.5 KiB | 2024-Oct-25 19:04 |
xendmail-0.4.3-r0.apk | 1003.6 KiB | 2024-Oct-25 19:04 |
xemu-0.7.131-r1.apk | 3.5 MiB | 2024-Oct-25 19:04 |
xed-python-3.6.6-r1.apk | 24.2 KiB | 2024-Dec-12 19:29 |
xed-lang-3.6.6-r1.apk | 2.1 MiB | 2024-Dec-12 19:29 |
xed-doc-3.6.6-r1.apk | 970.9 KiB | 2024-Dec-12 19:29 |
xed-dev-3.6.6-r1.apk | 13.6 KiB | 2024-Dec-12 19:29 |
xed-3.6.6-r1.apk | 1.1 MiB | 2024-Dec-12 19:29 |
xdg-ninja-0.2.0.2-r0.apk | 70.5 KiB | 2024-Oct-25 19:04 |
xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk | 2.4 KiB | 2024-Oct-25 19:04 |
xdg-desktop-portal-hyprland-1.3.3-r0.apk | 255.9 KiB | 2024-Oct-25 19:04 |
xcompmgr-doc-1.1.9-r0.apk | 2.6 KiB | 2024-Oct-25 19:04 |
xcompmgr-1.1.9-r0.apk | 15.0 KiB | 2024-Oct-25 19:04 |
xcape-doc-1.2-r0.apk | 2.8 KiB | 2024-Oct-25 19:04 |
xcape-1.2-r0.apk | 6.9 KiB | 2024-Oct-25 19:04 |
xandikos-pyc-0.2.12-r1.apk | 188.8 KiB | 2024-Nov-25 05:03 |
xandikos-openrc-0.2.12-r1.apk | 2.1 KiB | 2024-Nov-25 05:03 |
xandikos-doc-0.2.12-r1.apk | 2.4 KiB | 2024-Nov-25 05:03 |
xandikos-0.2.12-r1.apk | 91.2 KiB | 2024-Nov-25 05:03 |
xa-doc-2.3.14-r0.apk | 15.3 KiB | 2024-Oct-25 19:04 |
xa-2.3.14-r0.apk | 52.1 KiB | 2024-Oct-25 19:04 |
x11docker-doc-7.6.0-r1.apk | 9.4 KiB | 2024-Oct-25 19:04 |
x11docker-7.6.0-r1.apk | 113.4 KiB | 2024-Oct-25 19:04 |
wtfutil-0.43.0-r8.apk | 19.8 MiB | 2024-Oct-25 19:04 |
wsmancli-doc-2.6.2-r0.apk | 3.7 KiB | 2024-Oct-25 19:04 |
wsmancli-2.6.2-r0.apk | 19.6 KiB | 2024-Oct-25 19:04 |
wshowkeys-1.0-r0.apk | 14.6 KiB | 2024-Oct-25 19:04 |
wroomd-openrc-0.1.0-r0.apk | 1.7 KiB | 2024-Oct-25 19:04 |
wroomd-0.1.0-r0.apk | 1006.9 KiB | 2024-Oct-25 19:04 |
wput-doc-0.6.2-r4.apk | 8.2 KiB | 2024-Oct-25 19:04 |
wput-0.6.2-r4.apk | 38.6 KiB | 2024-Oct-25 19:04 |
wpa_actiond-openrc-1.4-r7.apk | 2.2 KiB | 2024-Oct-25 19:04 |
wpa_actiond-1.4-r7.apk | 10.0 KiB | 2024-Oct-25 19:04 |
wordgrinder-doc-0.8-r2.apk | 18.4 KiB | 2024-Oct-25 19:04 |
wordgrinder-0.8-r2.apk | 462.9 KiB | 2024-Oct-25 19:04 |
wolfssh-dev-1.4.17-r0.apk | 171.9 KiB | 2024-Oct-25 19:04 |
wolfssh-1.4.17-r0.apk | 133.9 KiB | 2024-Oct-25 19:04 |
wol-lang-0.7.1-r3.apk | 8.2 KiB | 2024-Oct-25 19:04 |
wol-doc-0.7.1-r3.apk | 5.5 KiB | 2024-Oct-25 19:04 |
wol-0.7.1-r3.apk | 25.1 KiB | 2024-Oct-25 19:04 |
wok-pyc-3.0.0-r6.apk | 118.7 KiB | 2024-Oct-25 19:04 |
wok-lang-3.0.0-r6.apk | 16.0 KiB | 2024-Oct-25 19:04 |
wok-doc-3.0.0-r6.apk | 3.7 KiB | 2024-Oct-25 19:04 |
wok-3.0.0-r6.apk | 156.6 KiB | 2024-Oct-25 19:04 |
wmi-client-1.3.16-r5.apk | 2.4 MiB | 2024-Oct-25 19:04 |
wmctrl-doc-1.07-r1.apk | 5.1 KiB | 2024-Oct-25 19:04 |
wmctrl-1.07-r1.apk | 14.0 KiB | 2024-Oct-25 19:04 |
wlvncc-0.0.0_git20241130-r0.apk | 71.4 KiB | 2024-Dec-17 20:02 |
wlroots0.17-dev-0.17.4-r1.apk | 77.4 KiB | 2024-Nov-24 10:45 |
wlroots0.17-dbg-0.17.4-r1.apk | 1.4 MiB | 2024-Nov-24 10:45 |
wlroots0.17-0.17.4-r1.apk | 366.9 KiB | 2024-Nov-24 10:45 |
wlroots0.16-dev-0.16.2-r0.apk | 70.7 KiB | 2024-Oct-28 13:47 |
wlroots0.16-dbg-0.16.2-r0.apk | 1.3 MiB | 2024-Oct-28 13:47 |
wlroots0.16-0.16.2-r0.apk | 331.3 KiB | 2024-Oct-28 13:47 |
wlroots0.15-dev-0.15.1-r6.apk | 70.4 KiB | 2024-Oct-25 19:04 |
wlroots0.15-dbg-0.15.1-r6.apk | 1.2 MiB | 2024-Oct-25 19:04 |
wlroots0.15-0.15.1-r6.apk | 281.5 KiB | 2024-Oct-25 19:04 |
wlroots0.12-dev-0.12.0-r1.apk | 60.7 KiB | 2024-Oct-25 19:04 |
wlroots0.12-dbg-0.12.0-r1.apk | 1004.7 KiB | 2024-Oct-25 19:04 |
wlroots0.12-0.12.0-r1.apk | 244.0 KiB | 2024-Oct-25 19:04 |
wlrobs-1.0-r4.apk | 18.6 KiB | 2024-Oct-25 19:04 |
wlopm-doc-0.1.0-r0.apk | 2.0 KiB | 2024-Oct-25 19:04 |
wlopm-0.1.0-r0.apk | 6.6 KiB | 2024-Oct-25 19:04 |
wlclock-doc-1.0.1-r0.apk | 3.3 KiB | 2024-Oct-25 19:04 |
wlclock-1.0.1-r0.apk | 16.5 KiB | 2024-Oct-25 19:04 |
wlavu-0_git20201101-r1.apk | 12.5 KiB | 2024-Oct-25 19:04 |
wl-gammarelay-0.1.1-r9.apk | 1.5 MiB | 2024-Oct-25 19:04 |
wl-clipboard-x11-doc-5-r3.apk | 2.9 KiB | 2024-Oct-25 19:04 |
wl-clipboard-x11-5-r3.apk | 3.4 KiB | 2024-Oct-25 19:04 |
wk-adblock-doc-0.0.4-r5.apk | 2.1 KiB | 2024-Oct-25 19:04 |
wk-adblock-0.0.4-r5.apk | 165.9 KiB | 2024-Oct-25 19:04 |
witchery-0.0.3-r2.apk | 3.2 KiB | 2024-Oct-25 19:04 |
wiremapper-0.10.0-r0.apk | 21.7 KiB | 2024-Oct-25 19:04 |
wireguard-go-doc-0.0.20230223-r7.apk | 3.6 KiB | 2024-Oct-25 19:04 |
wireguard-go-0.0.20230223-r7.apk | 1.1 MiB | 2024-Oct-25 19:04 |
winetricks-doc-20240105-r0.apk | 3.8 KiB | 2024-Nov-12 10:49 |
winetricks-bash-completion-20240105-r0.apk | 8.6 KiB | 2024-Nov-12 10:49 |
winetricks-20240105-r0.apk | 177.5 KiB | 2024-Nov-12 10:49 |
wine-staging-doc-9.22-r0.apk | 42.6 KiB | 2024-Nov-24 10:45 |
wine-staging-dev-9.22-r0.apk | 12.3 MiB | 2024-Nov-24 10:45 |
wine-staging-9.22-r0.apk | 125.7 MiB | 2024-Nov-24 10:45 |
wine-mono-9.3.0-r0.apk | 79.6 MiB | 2024-Nov-12 10:50 |
wiki-tui-doc-0.8.2-r1.apk | 4.6 KiB | 2024-Oct-25 19:04 |
wiki-tui-0.8.2-r1.apk | 1.5 MiB | 2024-Oct-25 19:04 |
wiimms-szs-tools-doc-2.26a-r0.apk | 49.6 KiB | 2024-Oct-25 19:04 |
wiimms-szs-tools-2.26a-r0.apk | 5.0 MiB | 2024-Oct-25 19:04 |
wiimms-iso-tools-doc-3.04a-r1.apk | 65.2 KiB | 2024-Oct-25 19:04 |
wiimms-iso-tools-3.04a-r1.apk | 3.6 MiB | 2024-Oct-25 19:04 |
whipper-pyc-0.10.0-r5.apk | 185.1 KiB | 2024-Oct-25 19:04 |
whipper-0.10.0-r5.apk | 112.9 KiB | 2024-Oct-25 19:04 |
wgcf-zsh-completion-2.2.23-r0.apk | 4.0 KiB | 2024-Dec-14 16:41 |
wgcf-fish-completion-2.2.23-r0.apk | 4.3 KiB | 2024-Dec-14 16:41 |
wgcf-bash-completion-2.2.23-r0.apk | 5.0 KiB | 2024-Dec-14 16:41 |
wgcf-2.2.23-r0.apk | 4.0 MiB | 2024-Dec-14 16:41 |
wf-shell-doc-0.8.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:04 |
wf-shell-dev-0.8.1-r0.apk | 1.7 KiB | 2024-Oct-25 19:04 |
wf-shell-0.8.1-r0.apk | 6.1 MiB | 2024-Oct-25 19:04 |
wf-config-dev-0.8.0-r1.apk | 16.5 KiB | 2024-Oct-25 19:04 |
wf-config-0.8.0-r1.apk | 98.1 KiB | 2024-Oct-25 19:04 |
welle-io-doc-2.6-r0.apk | 4.0 KiB | 2024-Dec-21 22:25 |
welle-io-2.6-r0.apk | 388.8 KiB | 2024-Dec-21 22:25 |
welle-cli-2.6-r0.apk | 305.1 KiB | 2024-Dec-21 22:25 |
weggli-0.2.4-r1.apk | 823.0 KiB | 2024-Oct-25 19:04 |
wcm-0.8.0-r0.apk | 360.5 KiB | 2024-Oct-25 19:04 |
wch-isp-udev-rules-0.4.1-r2.apk | 1.6 KiB | 2024-Oct-25 19:04 |
wch-isp-doc-0.4.1-r2.apk | 2.7 KiB | 2024-Oct-25 19:04 |
wch-isp-0.4.1-r2.apk | 10.0 KiB | 2024-Oct-25 19:04 |
wbg-1.2.0-r0.apk | 36.9 KiB | 2024-Oct-25 19:04 |
wazero-1.6.0-r5.apk | 1.9 MiB | 2024-Oct-25 19:04 |
wayqt-dev-0.2.0-r0.apk | 17.8 KiB | 2024-Oct-25 19:04 |
wayqt-0.2.0-r0.apk | 97.6 KiB | 2024-Oct-25 19:04 |
wayprompt-doc-0.1.2-r0.apk | 6.0 KiB | 2024-Dec-02 23:37 |
wayprompt-0.1.2-r0.apk | 385.0 KiB | 2024-Dec-02 23:37 |
waynergy-0.0.17-r0.apk | 46.1 KiB | 2024-Oct-25 19:04 |
waylock-doc-1.3.0-r0.apk | 3.2 KiB | 2024-Nov-11 00:20 |
waylock-1.3.0-r0.apk | 140.7 KiB | 2024-Nov-11 00:20 |
waylevel-1.0.0-r1.apk | 303.1 KiB | 2024-Oct-25 19:04 |
wayfire-plugins-extra-0.8.1-r1.apk | 549.0 KiB | 2024-Oct-25 19:04 |
wayfire-doc-0.8.1-r1.apk | 2.7 KiB | 2024-Oct-25 19:04 |
wayfire-dev-0.8.1-r1.apk | 128.6 KiB | 2024-Oct-25 19:04 |
wayfire-0.8.1-r1.apk | 2.2 MiB | 2024-Oct-25 19:04 |
waycheck-1.5.0-r0.apk | 40.6 KiB | 2024-Dec-21 22:50 |
way-displays-doc-1.8.1-r2.apk | 4.1 KiB | 2024-Oct-25 19:04 |
way-displays-1.8.1-r2.apk | 75.2 KiB | 2024-Oct-25 19:04 |
watershot-0.2.0-r0.apk | 1.7 MiB | 2024-Oct-25 19:04 |
watchmate-0.5.2-r0.apk | 2.3 MiB | 2024-Oct-25 19:04 |
watchdog-doc-5.16-r2.apk | 14.2 KiB | 2024-Oct-25 19:04 |
watchdog-5.16-r2.apk | 41.9 KiB | 2024-Oct-25 19:04 |
watchbind-doc-0.2.1-r1.apk | 6.6 KiB | 2024-Oct-25 19:04 |
watchbind-0.2.1-r1.apk | 1.1 MiB | 2024-Oct-25 19:04 |
wasmtime-dev-28.0.0-r0.apk | 53.6 KiB | 2024-Dec-21 22:49 |
wasmtime-28.0.0-r0.apk | 6.3 MiB | 2024-Dec-21 22:49 |
wasm-tools-doc-1.215.0-r0.apk | 7.2 KiB | 2024-Oct-25 19:04 |
wasm-tools-1.215.0-r0.apk | 2.6 MiB | 2024-Oct-25 19:04 |
wasm-micro-runtime-2.2.0-r0.apk | 1.2 KiB | 2024-Dec-05 17:32 |
warzone2100-lang-4.5.5-r1.apk | 2.6 MiB | 2024-Dec-14 21:23 |
warzone2100-doc-4.5.5-r1.apk | 1.5 MiB | 2024-Dec-14 21:23 |
warzone2100-data-4.5.5-r1.apk | 328.0 MiB | 2024-Dec-14 21:23 |
warzone2100-4.5.5-r1.apk | 6.5 MiB | 2024-Dec-14 21:23 |
warpinator-nemo-1.8.6-r0.apk | 4.0 KiB | 2024-Nov-12 11:18 |
warpinator-lang-1.8.6-r0.apk | 223.7 KiB | 2024-Nov-12 11:18 |
warpinator-1.8.6-r0.apk | 210.0 KiB | 2024-Nov-12 11:18 |
warp-s3-1.0.6-r0.apk | 6.6 MiB | 2024-Nov-13 01:07 |
wamrc-2.2.0-r0.apk | 451.9 KiB | 2024-Dec-05 17:32 |
walk-sor-doc-0_git20190920-r1.apk | 7.8 KiB | 2024-Oct-25 19:03 |
walk-sor-0_git20190920-r1.apk | 5.5 KiB | 2024-Oct-25 19:03 |
walk-1.10.0-r0.apk | 1.4 MiB | 2024-Nov-21 02:45 |
wakeonlan-doc-0.42-r0.apk | 7.5 KiB | 2024-Oct-25 19:03 |
wakeonlan-0.42-r0.apk | 4.5 KiB | 2024-Oct-25 19:03 |
waifu2x-converter-cpp-5.3.4-r7.apk | 11.6 MiB | 2024-Oct-25 19:03 |
wabt-doc-1.0.36-r0.apk | 13.7 KiB | 2024-Oct-25 19:03 |
wabt-1.0.36-r0.apk | 3.9 MiB | 2024-Oct-25 19:03 |
w_scan2-doc-1.0.15-r0.apk | 4.1 KiB | 2024-Oct-25 19:03 |
w_scan2-1.0.15-r0.apk | 129.6 KiB | 2024-Oct-25 19:03 |
vym-doc-2.9.26-r0.apk | 3.4 MiB | 2024-Oct-25 19:03 |
vym-2.9.26-r0.apk | 2.8 MiB | 2024-Oct-25 19:03 |
volumeicon-lang-0.5.1-r1.apk | 3.8 KiB | 2024-Oct-25 19:03 |
volumeicon-0.5.1-r1.apk | 43.2 KiB | 2024-Oct-25 19:03 |
volatility3-pyc-2.8.0-r0.apk | 922.7 KiB | 2024-Dec-05 17:47 |
volatility3-doc-2.8.0-r0.apk | 3.1 KiB | 2024-Dec-05 17:47 |
volatility3-2.8.0-r0.apk | 495.9 KiB | 2024-Dec-05 17:47 |
voikko-fi-2.5-r0.apk | 1.6 MiB | 2024-Oct-25 19:03 |
vmtouch-doc-1.3.1-r0.apk | 8.0 KiB | 2024-Oct-25 19:03 |
vmtouch-1.3.1-r0.apk | 11.8 KiB | 2024-Oct-25 19:03 |
vlang-0.4.8-r0.apk | 34.8 MiB | 2024-Oct-25 19:03 |
vkbasalt-doc-0.3.2.10-r0.apk | 3.1 KiB | 2024-Oct-25 19:03 |
vkbasalt-0.3.2.10-r0.apk | 403.7 KiB | 2024-Oct-25 19:03 |
vit-pyc-2.3.2-r1.apk | 151.5 KiB | 2024-Oct-25 19:03 |
vit-2.3.2-r1.apk | 80.5 KiB | 2024-Oct-25 19:03 |
visidata-zsh-completion-2.11.1-r2.apk | 7.2 KiB | 2024-Oct-25 19:03 |
visidata-pyc-2.11.1-r2.apk | 497.4 KiB | 2024-Oct-25 19:03 |
visidata-doc-2.11.1-r2.apk | 15.9 KiB | 2024-Oct-25 19:03 |
visidata-2.11.1-r2.apk | 248.4 KiB | 2024-Oct-25 19:03 |
virtualgl-doc-3.1-r0.apk | 340.9 KiB | 2024-Oct-25 19:03 |
virtualgl-dev-3.1-r0.apk | 6.2 KiB | 2024-Oct-25 19:03 |
virtualgl-3.1-r0.apk | 1.9 MiB | 2024-Oct-25 19:03 |
virtualbox-modules-vboxnetflt-6.1.50-r0.apk | 455.7 KiB | 2024-Oct-25 19:03 |
virtualbox-modules-vboxnetadp-6.1.50-r0.apk | 470.2 KiB | 2024-Oct-25 19:03 |
virtualbox-modules-vboxdrv-6.1.50-r0.apk | 868.8 KiB | 2024-Oct-25 19:03 |
virtualbox-6.1.50-r0.apk | 38.9 MiB | 2024-Oct-25 19:03 |
virtme-ng-bash-completion-1.31-r0.apk | 2.6 KiB | 2024-Oct-25 19:03 |
virtme-ng-1.31-r0.apk | 178.0 KiB | 2024-Oct-25 19:03 |
virter-zsh-completion-0.28.1-r0.apk | 4.0 KiB | 2024-Dec-14 21:23 |
virter-fish-completion-0.28.1-r0.apk | 4.3 KiB | 2024-Dec-14 21:23 |
virter-doc-0.28.1-r0.apk | 14.8 KiB | 2024-Dec-14 21:23 |
virter-bash-completion-0.28.1-r0.apk | 5.0 KiB | 2024-Dec-14 21:23 |
virter-0.28.1-r0.apk | 5.9 MiB | 2024-Dec-14 21:23 |
virtctl-zsh-completion-1.4.0-r0.apk | 4.0 KiB | 2024-Dec-14 18:10 |
virtctl-fish-completion-1.4.0-r0.apk | 4.3 KiB | 2024-Dec-14 18:10 |
virtctl-bash-completion-1.4.0-r0.apk | 5.1 KiB | 2024-Dec-14 18:10 |
virtctl-1.4.0-r0.apk | 15.7 MiB | 2024-Dec-14 18:10 |
vinegar-1.7.8-r0.apk | 6.5 MiB | 2024-Dec-07 20:28 |
vim-rust-305-r0.apk | 55.9 KiB | 2024-Oct-25 19:03 |
vim-airline-doc-0.11-r0.apk | 12.1 KiB | 2024-Oct-25 19:03 |
vim-airline-0.11-r0.apk | 86.4 KiB | 2024-Oct-25 19:03 |
vile-doc-9.8z-r0.apk | 15.0 KiB | 2024-Oct-25 19:03 |
vile-9.8z-r0.apk | 2.1 MiB | 2024-Oct-25 19:03 |
viewnior-lang-1.8-r1.apk | 85.1 KiB | 2024-Oct-25 19:03 |
viewnior-doc-1.8-r1.apk | 2.1 KiB | 2024-Oct-25 19:03 |
viewnior-1.8-r1.apk | 71.9 KiB | 2024-Oct-25 19:03 |
video-trimmer-lang-0.9.0-r0.apk | 90.9 KiB | 2024-Oct-25 19:03 |
video-trimmer-0.9.0-r0.apk | 775.7 KiB | 2024-Oct-25 19:03 |
vidcutter-pyc-6.0.5.3-r0.apk | 1.9 MiB | 2024-Oct-25 19:03 |
vidcutter-doc-6.0.5.3-r0.apk | 24.2 KiB | 2024-Oct-25 19:03 |
vidcutter-6.0.5.3-r0.apk | 2.8 MiB | 2024-Oct-25 19:03 |
vice-doc-3.8-r0.apk | 2.2 MiB | 2024-Oct-25 19:03 |
vice-3.8-r0.apk | 12.5 MiB | 2024-Oct-25 19:03 |
vfd-configurations-0_git20230612-r0.apk | 24.8 KiB | 2024-Oct-25 19:03 |
vera++-1.3.0-r10.apk | 246.9 KiB | 2024-Oct-25 19:03 |
venc-pyc-3.2.4-r0.apk | 128.1 KiB | 2024-Oct-25 19:03 |
venc-3.2.4-r0.apk | 250.7 KiB | 2024-Oct-25 19:03 |
vectoroids-doc-1.1.0-r2.apk | 2.3 KiB | 2024-Oct-25 19:03 |
vectoroids-1.1.0-r2.apk | 281.7 KiB | 2024-Oct-25 19:03 |
vector-openrc-0.39.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
vector-doc-0.39.0-r0.apk | 5.8 KiB | 2024-Oct-25 19:03 |
vector-0.39.0-r0.apk | 19.3 MiB | 2024-Oct-25 19:03 |
vdr-plugin-dvbapi-lang-2.2.5-r0.apk | 2.5 KiB | 2024-Oct-25 19:03 |
vdr-plugin-dvbapi-2.2.5-r0.apk | 61.1 KiB | 2024-Oct-25 19:03 |
vcstool-zsh-completion-0.3.0-r5.apk | 1.7 KiB | 2024-Oct-25 19:03 |
vcstool-tcsh-completion-0.3.0-r5.apk | 1.6 KiB | 2024-Oct-25 19:03 |
vcstool-pyc-0.3.0-r5.apk | 57.7 KiB | 2024-Oct-25 19:03 |
vcstool-bash-completion-0.3.0-r5.apk | 1.8 KiB | 2024-Oct-25 19:03 |
vcstool-0.3.0-r5.apk | 34.8 KiB | 2024-Oct-25 19:03 |
vcsh-zsh-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-25 19:03 |
vcsh-doc-2.0.5-r0.apk | 27.2 KiB | 2024-Oct-25 19:03 |
vcsh-bash-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-25 19:03 |
vcsh-2.0.5-r0.apk | 8.8 KiB | 2024-Oct-25 19:03 |
vcmi-1.5.7-r0.apk | 10.7 MiB | 2024-Oct-25 19:03 |
vcdimager-doc-2.0.1-r3.apk | 74.6 KiB | 2024-Oct-25 19:03 |
vcdimager-dev-2.0.1-r3.apk | 120.3 KiB | 2024-Oct-25 19:03 |
vcdimager-2.0.1-r3.apk | 481.9 KiB | 2024-Oct-25 19:03 |
vbindiff-doc-3.0_beta5-r1.apk | 5.5 KiB | 2024-Oct-25 19:03 |
vbindiff-3.0_beta5-r1.apk | 19.7 KiB | 2024-Oct-25 19:03 |
varnish-modules-doc-0.24.0-r0.apk | 21.3 KiB | 2024-Oct-25 19:03 |
varnish-modules-0.24.0-r0.apk | 38.8 KiB | 2024-Oct-25 19:03 |
vals-0.38.0-r0.apk | 28.1 MiB | 2024-Dec-14 17:24 |
uxplay-doc-1.71-r0.apk | 4.3 KiB | 2024-Dec-14 22:36 |
uxplay-1.71-r0.apk | 217.0 KiB | 2024-Dec-14 22:36 |
uxn-doc-1.0-r0.apk | 4.2 KiB | 2024-Oct-25 19:03 |
uxn-1.0-r0.apk | 40.2 KiB | 2024-Oct-25 19:03 |
uucp-doc-1.07-r6.apk | 118.1 KiB | 2024-Oct-25 19:03 |
uucp-1.07-r6.apk | 487.2 KiB | 2024-Oct-25 19:03 |
utop-full-2.9.1-r4.apk | 13.3 MiB | 2024-Oct-25 19:03 |
utop-emacs-2.9.1-r4.apk | 12.8 KiB | 2024-Oct-25 19:03 |
utop-doc-2.9.1-r4.apk | 5.7 KiB | 2024-Oct-25 19:03 |
utop-common-2.9.1-r4.apk | 2.0 KiB | 2024-Oct-25 19:03 |
utop-2.9.1-r4.apk | 13.3 MiB | 2024-Oct-25 19:03 |
ustream-ssl-dev-20220116-r1.apk | 2.6 KiB | 2024-Oct-25 19:03 |
ustream-ssl-20220116-r1.apk | 7.2 KiB | 2024-Oct-25 19:03 |
ustr-static-1.0.4-r1.apk | 164.8 KiB | 2024-Oct-25 19:03 |
ustr-doc-1.0.4-r1.apk | 96.6 KiB | 2024-Oct-25 19:03 |
ustr-dev-1.0.4-r1.apk | 91.4 KiB | 2024-Oct-25 19:03 |
ustr-debug-1.0.4-r1.apk | 77.8 KiB | 2024-Oct-25 19:03 |
ustr-1.0.4-r1.apk | 59.6 KiB | 2024-Oct-25 19:03 |
usql-0.15.6-r7.apk | 30.7 MiB | 2024-Oct-25 19:03 |
usbmuxd-doc-1.1.1-r8.apk | 2.9 KiB | 2024-Oct-30 22:44 |
usbmuxd-1.1.1-r8.apk | 33.3 KiB | 2024-Oct-30 22:44 |
urlwatch-pyc-2.28-r2.apk | 101.4 KiB | 2024-Oct-25 19:03 |
urlwatch-doc-2.28-r2.apk | 33.2 KiB | 2024-Oct-25 19:03 |
urlwatch-2.28-r2.apk | 48.7 KiB | 2024-Oct-25 19:03 |
uranium-5.2.2-r3.apk | 595.5 KiB | 2024-Oct-25 19:03 |
upterm-zsh-completion-0.14.3-r0.apk | 4.0 KiB | 2024-Oct-25 19:03 |
upterm-server-openrc-0.14.3-r0.apk | 1.9 KiB | 2024-Oct-25 19:03 |
upterm-server-0.14.3-r0.apk | 5.7 MiB | 2024-Oct-25 19:03 |
upterm-doc-0.14.3-r0.apk | 6.5 KiB | 2024-Oct-25 19:03 |
upterm-bash-completion-0.14.3-r0.apk | 5.5 KiB | 2024-Oct-25 19:03 |
upterm-0.14.3-r0.apk | 5.8 MiB | 2024-Oct-25 19:03 |
up-0.4-r24.apk | 1.1 MiB | 2024-Oct-25 19:03 |
unit-php81-1.34.0-r0.apk | 30.2 KiB | 2024-Dec-20 10:05 |
unicorn-dev-2.0.1-r4.apk | 8.1 MiB | 2024-Oct-25 19:03 |
unicorn-2.0.1-r4.apk | 6.8 MiB | 2024-Oct-25 19:03 |
undock-0.8.0-r1.apk | 10.1 MiB | 2024-Oct-25 19:03 |
ueberzug-pyc-18.2.3-r0.apk | 64.4 KiB | 2024-Oct-25 19:03 |
ueberzug-18.2.3-r0.apk | 63.0 KiB | 2024-Oct-25 19:03 |
udpt-openrc-3.1.2-r0.apk | 1.8 KiB | 2024-Oct-25 19:03 |
udpt-3.1.2-r0.apk | 694.5 KiB | 2024-Oct-25 19:03 |
uclient-fetch-20210514-r0.apk | 9.6 KiB | 2024-Oct-25 19:03 |
uclient-dev-20210514-r0.apk | 3.2 KiB | 2024-Oct-25 19:03 |
uclient-20210514-r0.apk | 12.6 KiB | 2024-Oct-25 19:03 |
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16.2 KiB | 2024-Oct-25 19:03 |
ubase-doc-20200605-r3.apk | 21.2 KiB | 2024-Oct-25 19:03 |
ubase-20200605-r3.apk | 42.6 KiB | 2024-Oct-25 19:03 |
uasm-2.56.2-r0.apk | 271.1 KiB | 2024-Oct-25 19:03 |
u1db-qt-0.1.8-r0.apk | 95.1 KiB | 2024-Oct-25 19:03 |
typos-doc-1.23.2-r0.apk | 6.1 KiB | 2024-Oct-25 19:03 |
typos-1.23.2-r0.apk | 2.4 MiB | 2024-Oct-25 19:03 |
typlite-0.12.12-r0.apk | 13.0 MiB | 2024-Dec-17 20:18 |
twinkle-doc-1.10.3-r2.apk | 3.6 KiB | 2024-Oct-25 19:03 |
twinkle-1.10.3-r2.apk | 2.3 MiB | 2024-Oct-25 19:03 |
twiggy-0.6.0-r3.apk | 796.1 KiB | 2024-Oct-25 19:03 |
twemproxy-doc-0.5.0-r0.apk | 17.2 KiB | 2024-Oct-25 19:03 |
twemproxy-0.5.0-r0.apk | 66.5 KiB | 2024-Oct-25 19:03 |
turnstile-openrc-0.1.10-r3.apk | 1.8 KiB | 2024-Oct-25 19:03 |
turnstile-doc-0.1.10-r3.apk | 5.7 KiB | 2024-Oct-25 19:03 |
turnstile-0.1.10-r3.apk | 37.2 KiB | 2024-Oct-25 19:03 |
turn-rs-openrc-3.2.0-r0.apk | 1.9 KiB | 2024-Dec-01 00:41 |
turn-rs-doc-3.2.0-r0.apk | 10.8 KiB | 2024-Dec-01 00:41 |
turn-rs-3.2.0-r0.apk | 1.7 MiB | 2024-Dec-01 00:41 |
tuptime-openrc-5.2.4-r1.apk | 1.7 KiB | 2024-Nov-29 01:09 |
tuptime-doc-5.2.4-r1.apk | 3.7 KiB | 2024-Nov-29 01:09 |
tuptime-5.2.4-r1.apk | 13.8 KiB | 2024-Nov-29 01:09 |
tup-vim-0.7.11-r0.apk | 2.6 KiB | 2024-Oct-25 19:03 |
tup-doc-0.7.11-r0.apk | 20.9 KiB | 2024-Oct-25 19:03 |
tup-0.7.11-r0.apk | 233.1 KiB | 2024-Oct-25 19:03 |
tui-journal-doc-0.10.0-r0.apk | 6.9 KiB | 2024-Oct-25 19:03 |
tui-journal-0.10.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:03 |
ttyper-1.5.0-r0.apk | 561.1 KiB | 2024-Oct-25 19:03 |
tty-share-2.4.0-r13.apk | 3.5 MiB | 2024-Oct-25 19:03 |
tty-proxy-0.0.2-r23.apk | 2.4 MiB | 2024-Oct-25 19:03 |
tty-clock-doc-2.3_git20240104-r0.apk | 3.1 KiB | 2024-Oct-25 19:03 |
tty-clock-2.3_git20240104-r0.apk | 8.3 KiB | 2024-Oct-25 19:03 |
ttfautohint-libs-1.8.4-r0.apk | 102.1 KiB | 2024-Oct-25 19:03 |
ttfautohint-gui-1.8.4-r0.apk | 56.8 KiB | 2024-Oct-25 19:03 |
ttfautohint-doc-1.8.4-r0.apk | 8.1 KiB | 2024-Oct-25 19:03 |
ttfautohint-dev-1.8.4-r0.apk | 153.2 KiB | 2024-Oct-25 19:03 |
ttfautohint-1.8.4-r0.apk | 27.0 KiB | 2024-Oct-25 19:03 |
ttdl-doc-4.7.0-r0.apk | 36.8 KiB | 2024-Nov-26 19:05 |
ttdl-4.7.0-r0.apk | 924.6 KiB | 2024-Nov-26 19:05 |
tsung-1.8.0-r2.apk | 730.2 KiB | 2024-Oct-25 19:03 |
trivy-0.50.4-r3.apk | 72.9 MiB | 2024-Oct-25 19:03 |
trippy-zsh-completion-0.12.0-r0.apk | 4.7 KiB | 2024-Dec-12 19:35 |
trippy-bash-completion-0.12.0-r0.apk | 3.1 KiB | 2024-Dec-12 19:35 |
trippy-0.12.0-r0.apk | 2.2 MiB | 2024-Dec-12 19:35 |
trigger-rally-doc-0.6.7-r2.apk | 28.4 KiB | 2024-Oct-25 19:03 |
trigger-rally-data-0.6.7-r2.apk | 352.1 MiB | 2024-Oct-25 19:03 |
trigger-rally-0.6.7-r2.apk | 302.4 KiB | 2024-Oct-25 19:03 |
tremc-zsh-completion-0.9.3-r0.apk | 1.6 KiB | 2024-Oct-25 19:03 |
tremc-doc-0.9.3-r0.apk | 2.6 KiB | 2024-Oct-25 19:03 |
tremc-bash-completion-0.9.3-r0.apk | 1.7 KiB | 2024-Oct-25 19:03 |
tremc-0.9.3-r0.apk | 48.2 KiB | 2024-Oct-25 19:03 |
treecat-doc-1.0.2_git20240706-r1.apk | 3.3 KiB | 2024-Nov-29 13:19 |
treecat-1.0.2_git20240706-r1.apk | 111.6 KiB | 2024-Nov-29 13:19 |
tree-sitter-xml-doc-0.7.0-r0.apk | 2.2 KiB | 2024-Nov-14 13:11 |
tree-sitter-xml-0.7.0-r0.apk | 32.8 KiB | 2024-Nov-14 13:11 |
tree-sitter-vimdoc-doc-3.0.0-r1.apk | 7.2 KiB | 2024-Nov-21 21:25 |
tree-sitter-vimdoc-dev-3.0.0-r1.apk | 29.8 KiB | 2024-Nov-21 21:25 |
tree-sitter-vimdoc-3.0.0-r1.apk | 27.0 KiB | 2024-Nov-21 21:25 |
tree-sitter-ssh-client-config-2024.12.19-r0.apk | 80.5 KiB | 2024-Dec-20 05:18 |
tree-sitter-scheme-doc-0.23.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
tree-sitter-scheme-0.23.0-r0.apk | 23.6 KiB | 2024-Oct-25 19:03 |
tree-sitter-ron-0.2.0-r0.apk | 27.9 KiB | 2024-Oct-25 19:03 |
tree-sitter-pascal-doc-0.9.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
tree-sitter-pascal-0.9.1-r0.apk | 81.6 KiB | 2024-Oct-25 19:03 |
tree-sitter-nix-doc-0_git20230713-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
tree-sitter-nix-0_git20230713-r0.apk | 22.5 KiB | 2024-Oct-25 19:03 |
tree-sitter-markdown-doc-0.3.2-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
tree-sitter-markdown-0.3.2-r0.apk | 122.9 KiB | 2024-Oct-25 19:03 |
tree-sitter-make-0_git20211216-r2.apk | 41.1 KiB | 2024-Oct-25 19:03 |
tree-sitter-kotlin-0.3.8-r0.apk | 319.1 KiB | 2024-Oct-25 19:03 |
tree-sitter-just-0_git20230318-r0.apk | 14.1 KiB | 2024-Oct-25 19:03 |
tree-sitter-hcl-1.1.0-r1.apk | 41.7 KiB | 2024-Oct-25 19:03 |
tree-sitter-haskell-0.23.0-r0.apk | 283.9 KiB | 2024-Oct-25 19:03 |
tree-sitter-hare-0_git20230616-r1.apk | 32.6 KiB | 2024-Oct-25 19:03 |
tree-sitter-gleam-1.0.0-r0.apk | 42.0 KiB | 2024-Oct-25 19:03 |
tree-sitter-git-rebase-0_git20220110-r2.apk | 6.8 KiB | 2024-Oct-25 19:03 |
tree-sitter-git-diff-0_git20230730-r0.apk | 9.8 KiB | 2024-Oct-25 19:03 |
tree-sitter-git-commit-0_git20211225-r2.apk | 12.9 KiB | 2024-Oct-25 19:03 |
tree-sitter-dart-0_git20230123-r1.apk | 90.0 KiB | 2024-Oct-25 19:03 |
tree-sitter-clojure-0.0.12-r0.apk | 22.5 KiB | 2024-Oct-25 19:03 |
tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
tree-sitter-caddy-0_git20230322-r0.apk | 70.0 KiB | 2024-Oct-25 19:03 |
tree-sitter-c-sharp-0.23.1-r0.apk | 344.8 KiB | 2024-Nov-13 00:51 |
tre-static-0.8.0-r2.apk | 28.0 KiB | 2024-Oct-25 19:03 |
tre-dev-0.8.0-r2.apk | 5.2 KiB | 2024-Oct-25 19:03 |
tre-0.8.0-r2.apk | 26.7 KiB | 2024-Oct-25 19:03 |
trantor-doc-1.5.18-r0.apk | 2.6 KiB | 2024-Oct-25 19:03 |
trantor-dev-1.5.18-r0.apk | 34.0 KiB | 2024-Oct-25 19:03 |
trantor-1.5.18-r0.apk | 229.1 KiB | 2024-Oct-25 19:03 |
transmission-remote-gtk-lang-1.6.0-r0.apk | 106.0 KiB | 2024-Oct-25 19:03 |
transmission-remote-gtk-doc-1.6.0-r0.apk | 4.2 KiB | 2024-Oct-25 19:03 |
transmission-remote-gtk-1.6.0-r0.apk | 145.5 KiB | 2024-Oct-25 19:03 |
transito-doc-0.8.4-r0.apk | 753.3 KiB | 2024-Nov-19 02:49 |
transito-0.8.4-r0.apk | 8.5 MiB | 2024-Nov-19 02:49 |
trace-cmd-doc-3.3.1-r0.apk | 171.3 KiB | 2024-Nov-01 17:22 |
trace-cmd-bash-completion-3.3.1-r0.apk | 3.3 KiB | 2024-Nov-01 17:22 |
trace-cmd-3.3.1-r0.apk | 163.6 KiB | 2024-Nov-01 17:22 |
tpp-bypass-0.8.4-r0.apk | 12.7 KiB | 2024-Oct-25 19:03 |
tpm2-pkcs11-pyc-1.9.1-r0.apk | 68.9 KiB | 2024-Oct-25 19:03 |
tpm2-pkcs11-dev-1.9.1-r0.apk | 1.9 KiB | 2024-Oct-25 19:03 |
tpm2-pkcs11-1.9.1-r0.apk | 129.5 KiB | 2024-Oct-25 19:03 |
toybox-0.8.11-r1.apk | 266.6 KiB | 2024-Oct-25 19:03 |
toss-1.1-r0.apk | 10.8 KiB | 2024-Oct-25 19:03 |
torrent-file-editor-0.3.18-r0.apk | 363.7 KiB | 2024-Oct-25 19:03 |
topgit-doc-0.19.13-r1.apk | 73.4 KiB | 2024-Oct-25 19:03 |
topgit-bash-completion-0.19.13-r1.apk | 4.0 KiB | 2024-Oct-25 19:03 |
topgit-0.19.13-r1.apk | 126.7 KiB | 2024-Oct-25 19:03 |
tootik-openrc-0.13.0-r0.apk | 3.1 KiB | 2024-Nov-21 04:57 |
tootik-0.13.0-r0.apk | 4.0 MiB | 2024-Nov-21 04:57 |
tonutils-reverse-proxy-doc-0.3.3-r0.apk | 2.4 KiB | 2024-Oct-25 19:03 |
tonutils-reverse-proxy-0.3.3-r0.apk | 2.7 MiB | 2024-Oct-25 19:03 |
toml2json-doc-1.3.1-r0.apk | 3.3 KiB | 2024-Oct-25 19:03 |
toml2json-1.3.1-r0.apk | 358.0 KiB | 2024-Oct-25 19:03 |
tomcat9-openrc-9.0.97-r0.apk | 4.5 KiB | 2024-Nov-18 22:04 |
tomcat9-examples-9.0.97-r0.apk | 444.7 KiB | 2024-Nov-18 22:04 |
tomcat9-doc-9.0.97-r0.apk | 1.5 MiB | 2024-Nov-18 22:04 |
tomcat9-admin-9.0.97-r0.apk | 118.6 KiB | 2024-Nov-18 22:04 |
tomcat9-9.0.97-r0.apk | 6.7 MiB | 2024-Nov-18 22:04 |
tofutf-server-openrc-0.10.0-r0.apk | 2.0 KiB | 2024-Oct-25 19:03 |
tofutf-server-0.10.0-r0.apk | 13.6 MiB | 2024-Oct-25 19:03 |
tofutf-cli-0.10.0-r0.apk | 8.5 MiB | 2024-Oct-25 19:03 |
tofutf-agent-openrc-0.10.0-r0.apk | 2.0 KiB | 2024-Oct-25 19:03 |
tofutf-agent-0.10.0-r0.apk | 9.2 MiB | 2024-Oct-25 19:03 |
tofutf-0.10.0-r0.apk | 1.4 KiB | 2024-Oct-25 19:03 |
today-doc-6.2.0-r0.apk | 3.3 KiB | 2024-Oct-25 19:03 |
today-6.2.0-r0.apk | 3.1 KiB | 2024-Oct-25 19:03 |
toapk-1.0-r0.apk | 10.7 KiB | 2024-Oct-25 19:03 |
tnef-doc-1.4.18-r0.apk | 4.2 KiB | 2024-Oct-25 19:03 |
tnef-1.4.18-r0.apk | 24.9 KiB | 2024-Oct-25 19:03 |
tncattach-doc-0.1.9-r1.apk | 3.9 KiB | 2024-Oct-25 19:03 |
tncattach-0.1.9-r1.apk | 22.5 KiB | 2024-Oct-25 19:03 |
tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2024-Oct-25 19:03 |
tmux-resurrect-4.0.0-r0.apk | 13.8 KiB | 2024-Oct-25 19:03 |
tmpmail-doc-1.2.3-r2.apk | 3.2 KiB | 2024-Oct-25 19:03 |
tmpmail-1.2.3-r2.apk | 7.0 KiB | 2024-Oct-25 19:03 |
tmpl-doc-0.4.0-r6.apk | 2.2 KiB | 2024-Oct-25 19:03 |
tmpl-0.4.0-r6.apk | 2.5 MiB | 2024-Oct-25 19:03 |
tmate-doc-2.4.0-r4.apk | 71.7 KiB | 2024-Oct-25 19:03 |
tmate-2.4.0-r4.apk | 270.0 KiB | 2024-Oct-25 19:03 |
tldr-python-client-pyc-3.3.0-r0.apk | 14.2 KiB | 2024-Dec-01 17:09 |
tldr-python-client-doc-3.3.0-r0.apk | 3.5 KiB | 2024-Dec-01 17:09 |
tldr-python-client-3.3.0-r0.apk | 12.1 KiB | 2024-Dec-01 17:09 |
tkts-2.0-r0.apk | 17.1 KiB | 2024-Oct-25 19:03 |
tk9-doc-9.0.1-r0.apk | 1.3 MiB | 2024-Dec-22 06:15 |
tk9-dev-9.0.1-r0.apk | 81.3 KiB | 2024-Dec-22 06:15 |
tk9-9.0.1-r0.apk | 828.3 KiB | 2024-Dec-22 06:15 |
tiptop-doc-2.3.1-r2.apk | 7.1 KiB | 2024-Oct-25 19:03 |
tiptop-2.3.1-r2.apk | 34.1 KiB | 2024-Oct-25 19:03 |
tinyscheme-1.42-r1.apk | 57.9 KiB | 2024-Oct-25 19:03 |
tinymist-0.12.12-r0.apk | 16.7 MiB | 2024-Dec-17 20:18 |
tinygltf-dev-2.9.3-r0.apk | 57.2 KiB | 2024-Nov-07 22:57 |
tinygltf-2.9.3-r0.apk | 144.7 KiB | 2024-Nov-07 22:57 |
tinycbor-dev-0.6.0-r1.apk | 8.4 KiB | 2024-Oct-25 19:03 |
tinycbor-0.6.0-r1.apk | 15.1 KiB | 2024-Oct-25 19:03 |
tiny-doc-0.12.0-r0.apk | 5.4 KiB | 2024-Oct-25 19:03 |
tiny-0.12.0-r0.apk | 563.8 KiB | 2024-Oct-25 19:03 |
tintin-2.02.31-r0.apk | 1.8 MiB | 2024-Oct-25 19:03 |
timewarrior-doc-1.7.1-r0.apk | 22.2 KiB | 2024-Oct-25 19:03 |
timewarrior-1.7.1-r0.apk | 262.1 KiB | 2024-Oct-25 19:03 |
timew-doc-1.4.3-r1.apk | 53.2 KiB | 2024-Oct-25 19:03 |
timew-bash-completion-1.4.3-r1.apk | 2.8 KiB | 2024-Oct-25 19:03 |
timew-1.4.3-r1.apk | 252.1 KiB | 2024-Oct-25 19:03 |
timeshift-lang-24.06.5-r0.apk | 916.7 KiB | 2024-Dec-05 20:47 |
timeshift-doc-24.06.5-r0.apk | 3.1 KiB | 2024-Dec-05 20:47 |
timeshift-24.06.5-r0.apk | 473.1 KiB | 2024-Dec-05 20:47 |
time-doc-1.9-r1.apk | 15.0 KiB | 2024-Oct-25 19:03 |
time-1.9-r1.apk | 11.9 KiB | 2024-Oct-25 19:03 |
ticker-zsh-completion-4.7.1-r0.apk | 3.7 KiB | 2024-Dec-14 18:10 |
ticker-fish-completion-4.7.1-r0.apk | 3.8 KiB | 2024-Dec-14 18:10 |
ticker-bash-completion-4.7.1-r0.apk | 4.5 KiB | 2024-Dec-14 18:10 |
ticker-4.7.1-r0.apk | 4.0 MiB | 2024-Dec-14 18:10 |
tick-doc-1.2.1-r0.apk | 5.5 KiB | 2024-Oct-25 19:03 |
tick-1.2.1-r0.apk | 10.2 KiB | 2024-Oct-25 19:03 |
tic-80-1.1.2837-r4.apk | 13.6 MiB | 2024-Oct-25 19:03 |
thunarx-python-doc-0.5.2-r2.apk | 25.2 KiB | 2024-Oct-25 19:03 |
thunarx-python-0.5.2-r2.apk | 9.3 KiB | 2024-Oct-25 19:03 |
thunar-gtkhash-plugin-1.5-r0.apk | 22.9 KiB | 2024-Oct-25 19:03 |
thumbdrives-0.3.2-r2.apk | 10.9 KiB | 2024-Oct-25 19:03 |
throttled-pyc-0.10.0-r1.apk | 28.4 KiB | 2024-Dec-15 19:29 |
throttled-openrc-0.10.0-r1.apk | 1.6 KiB | 2024-Dec-15 19:29 |
throttled-0.10.0-r1.apk | 14.6 KiB | 2024-Dec-15 19:29 |
thermald-openrc-2.5.8-r0.apk | 1.7 KiB | 2024-Nov-12 11:10 |
thermald-doc-2.5.8-r0.apk | 8.6 KiB | 2024-Nov-12 11:10 |
thermald-2.5.8-r0.apk | 170.8 KiB | 2024-Nov-12 11:10 |
theme.sh-doc-1.1.5-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
theme.sh-1.1.5-r0.apk | 39.1 KiB | 2024-Oct-25 19:03 |
thelounge-openrc-4.4.3-r0.apk | 2.0 KiB | 2024-Oct-25 19:03 |
thelounge-doc-4.4.3-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
thelounge-4.4.3-r0.apk | 28.0 MiB | 2024-Oct-25 19:03 |
thefuck-pyc-3.32-r5.apk | 155.7 KiB | 2024-Oct-25 19:03 |
thefuck-3.32-r5.apk | 83.3 KiB | 2024-Oct-25 19:03 |
theforceengine-doc-1.09.540-r1.apk | 6.3 MiB | 2024-Oct-25 19:03 |
theforceengine-1.09.540-r1.apk | 6.9 MiB | 2024-Oct-25 19:03 |
thanos-openrc-0.31.0-r8.apk | 2.0 KiB | 2024-Oct-25 19:03 |
thanos-0.31.0-r8.apk | 21.2 MiB | 2024-Oct-25 19:03 |
tfupdate-doc-0.8.2-r1.apk | 2.3 KiB | 2024-Oct-25 19:03 |
tfupdate-0.8.2-r1.apk | 4.6 MiB | 2024-Oct-25 19:03 |
texstudio-4.8.5-r0.apk | 82.7 MiB | 2024-Dec-14 21:23 |
texmaker-6.0.0-r0.apk | 12.1 MiB | 2024-Oct-25 19:03 |
texlab-5.19.0-r0.apk | 8.6 MiB | 2024-Oct-25 19:03 |
tetragon-client-zsh-completion-1.1.2-r0.apk | 4.0 KiB | 2024-Oct-25 19:03 |
tetragon-client-fish-completion-1.1.2-r0.apk | 4.3 KiB | 2024-Oct-25 19:03 |
tetragon-client-bash-completion-1.1.2-r0.apk | 5.1 KiB | 2024-Oct-25 19:03 |
tetragon-client-1.1.2-r0.apk | 20.6 MiB | 2024-Oct-25 19:03 |
termusic-mpv-0.7.11-r0.apk | 5.9 MiB | 2024-Oct-25 19:03 |
terminalpp-ropen-0.8.4-r0.apk | 55.8 KiB | 2024-Oct-25 19:03 |
terminalpp-0.8.4-r0.apk | 411.4 KiB | 2024-Oct-25 19:03 |
termcolor-dev-2.1.0-r0.apk | 6.8 KiB | 2024-Oct-25 19:03 |
termcolor-2.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 19:03 |
termbox-static-1.1.2-r1.apk | 12.5 KiB | 2024-Oct-25 19:03 |
termbox-dev-1.1.2-r1.apk | 5.7 KiB | 2024-Oct-25 19:03 |
termbox-1.1.2-r1.apk | 11.3 KiB | 2024-Oct-25 19:03 |
tere-doc-1.6.0-r0.apk | 14.0 KiB | 2024-Oct-25 19:03 |
tere-1.6.0-r0.apk | 1.0 MiB | 2024-Oct-25 19:03 |
tenv-zsh-completion-3.2.4-r2.apk | 4.0 KiB | 2024-Oct-25 19:03 |
tenv-fish-completion-3.2.4-r2.apk | 4.3 KiB | 2024-Oct-25 19:03 |
tenv-bash-completion-3.2.4-r2.apk | 5.0 KiB | 2024-Oct-25 19:03 |
tenv-3.2.4-r2.apk | 9.3 MiB | 2024-Oct-25 19:03 |
templ-0.2.793-r0.apk | 5.0 MiB | 2024-Dec-14 18:07 |
telegram-tdlib-static-1.8.41-r0.apk | 18.5 MiB | 2024-Dec-07 04:17 |
telegram-tdlib-dev-1.8.41-r0.apk | 174.5 KiB | 2024-Dec-07 04:17 |
telegram-tdlib-1.8.41-r0.apk | 6.8 MiB | 2024-Dec-07 04:17 |
telegram-bot-api-8.1-r0.apk | 6.7 MiB | 2024-Dec-07 20:55 |
teapot-tools-0.4.2-r2.apk | 2.0 MiB | 2024-Oct-25 19:03 |
tealdeer-zsh-completion-1.7.1-r0.apk | 2.3 KiB | 2024-Dec-14 18:12 |
tealdeer-fish-completion-1.7.1-r0.apk | 2.2 KiB | 2024-Dec-14 18:12 |
tealdeer-bash-completion-1.7.1-r0.apk | 2.0 KiB | 2024-Dec-14 18:12 |
tealdeer-1.7.1-r0.apk | 867.2 KiB | 2024-Dec-14 18:12 |
tdrop-doc-0.5.0-r0.apk | 8.9 KiB | 2024-Oct-25 19:03 |
tdrop-0.5.0-r0.apk | 11.8 KiB | 2024-Oct-25 19:03 |
tcmu-runner-rbd-1.6.0-r6.apk | 12.4 KiB | 2024-Oct-25 19:03 |
tcmu-runner-doc-1.6.0-r6.apk | 2.5 KiB | 2024-Oct-25 19:03 |
tcmu-runner-1.6.0-r6.apk | 81.7 KiB | 2024-Oct-25 19:03 |
tcmalloc-profiler-2.16-r0.apk | 115.0 KiB | 2024-Oct-25 19:03 |
tcmalloc-minimal-debug-2.16-r0.apk | 66.2 KiB | 2024-Oct-25 19:03 |
tcmalloc-minimal-2.16-r0.apk | 59.0 KiB | 2024-Oct-25 19:03 |
tcmalloc-debug-2.16-r0.apk | 114.1 KiB | 2024-Oct-25 19:03 |
tcmalloc-2.16-r0.apk | 107.1 KiB | 2024-Oct-25 19:03 |
tcl9-doc-9.0.1-r0.apk | 1.4 MiB | 2024-Dec-22 06:15 |
tcl9-dev-9.0.1-r0.apk | 183.2 KiB | 2024-Dec-22 06:15 |
tcl9-9.0.1-r0.apk | 1.8 MiB | 2024-Dec-22 06:15 |
tcl-curl-doc-7.22.0-r0.apk | 37.7 KiB | 2024-Oct-25 19:03 |
tcl-curl-7.22.0-r0.apk | 31.8 KiB | 2024-Oct-25 19:03 |
tcc-libs-static-0.9.27_git20241020-r1.apk | 9.5 KiB | 2024-Oct-25 19:03 |
tcc-libs-0.9.27_git20241020-r1.apk | 134.9 KiB | 2024-Oct-25 19:03 |
tcc-doc-0.9.27_git20241020-r1.apk | 49.8 KiB | 2024-Oct-25 19:03 |
tcc-dev-0.9.27_git20241020-r1.apk | 46.6 KiB | 2024-Oct-25 19:03 |
tcc-0.9.27_git20241020-r1.apk | 10.2 KiB | 2024-Oct-25 19:03 |
tayga-doc-0.9.2-r0.apk | 5.6 KiB | 2024-Oct-25 19:03 |
tayga-0.9.2-r0.apk | 22.8 KiB | 2024-Oct-25 19:03 |
tauri-cli-1.6.2-r0.apk | 4.7 MiB | 2024-Nov-01 00:39 |
taskcafe-openrc-0.3.6-r8.apk | 1.8 KiB | 2024-Oct-25 19:03 |
taskcafe-0.3.6-r8.apk | 13.6 MiB | 2024-Oct-25 19:03 |
tartube-pyc-2.5.0-r1.apk | 1.1 MiB | 2024-Oct-25 19:03 |
tartube-2.5.0-r1.apk | 2.7 MiB | 2024-Oct-25 19:03 |
tanka-0.31.0-r0.apk | 4.5 MiB | 2024-Dec-17 07:30 |
tanidvr-dhav2mkv-1.4.1-r1.apk | 10.7 KiB | 2024-Oct-25 19:03 |
tanidvr-1.4.1-r1.apk | 21.3 KiB | 2024-Oct-25 19:03 |
tangctl-0_git20220412-r19.apk | 2.4 MiB | 2024-Oct-25 19:03 |
tangara-companion-0.4.3-r0.apk | 1.0 MiB | 2024-Dec-17 20:29 |
tang-openrc-14-r0.apk | 2.0 KiB | 2024-Oct-25 19:03 |
tang-doc-14-r0.apk | 20.6 KiB | 2024-Oct-25 19:03 |
tang-dbg-14-r0.apk | 30.7 KiB | 2024-Oct-25 19:03 |
tang-14-r0.apk | 15.3 KiB | 2024-Oct-25 19:03 |
tailspin-zsh-completion-3.0.0-r0.apk | 2.5 KiB | 2024-Oct-25 19:03 |
tailspin-fish-completion-3.0.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:03 |
tailspin-doc-3.0.0-r0.apk | 2.7 KiB | 2024-Oct-25 19:03 |
tailspin-bash-completion-3.0.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
tailspin-3.0.0-r0.apk | 1.1 MiB | 2024-Oct-25 19:03 |
tachyon-scenes-0.99_beta6-r1.apk | 1.9 MiB | 2024-Oct-25 19:03 |
tachyon-0.99_beta6-r1.apk | 100.7 KiB | 2024-Oct-25 19:03 |
tabiew-0.7.1-r0.apk | 7.4 MiB | 2024-Nov-25 21:49 |
tabby-doc-3.1-r1.apk | 2.3 KiB | 2024-Oct-25 19:03 |
tabby-3.1-r1.apk | 29.3 KiB | 2024-Oct-25 19:03 |
t2sz-1.1.2-r0.apk | 8.8 KiB | 2024-Oct-25 19:03 |
syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.6 KiB | 2024-Oct-25 19:03 |
syncthing-gtk-doc-0.9.4.5-r2.apk | 2.2 KiB | 2024-Oct-25 19:03 |
syncthing-gtk-0.9.4.5-r2.apk | 440.0 KiB | 2024-Oct-25 19:03 |
synapse-bt-openrc-1.0-r4.apk | 1.8 KiB | 2024-Oct-25 19:03 |
synapse-bt-cli-1.0-r4.apk | 995.1 KiB | 2024-Oct-25 19:03 |
synapse-bt-1.0-r4.apk | 1.1 MiB | 2024-Oct-25 19:03 |
sympow-doc-2.023.7-r2.apk | 3.1 KiB | 2024-Oct-25 19:03 |
sympow-2.023.7-r2.apk | 1.8 MiB | 2024-Oct-25 19:03 |
symengine-0.12.0-r0.apk | 2.9 MiB | 2024-Oct-25 19:03 |
symbiyosys-0.36-r0.apk | 37.6 KiB | 2024-Oct-25 19:03 |
sylpheed-imap-notify-1.1.0-r2.apk | 7.9 KiB | 2024-Oct-25 19:03 |
sydbox-vim-3.21.3-r0.apk | 5.2 KiB | 2024-Oct-25 19:03 |
sydbox-utils-3.21.3-r0.apk | 6.2 MiB | 2024-Oct-25 19:03 |
sydbox-oci-3.21.3-r0.apk | 1.8 MiB | 2024-Oct-25 19:03 |
sydbox-doc-3.21.3-r0.apk | 83.9 KiB | 2024-Oct-25 19:03 |
sydbox-3.21.3-r0.apk | 1.4 MiB | 2024-Oct-25 19:03 |
sxcs-doc-1.1.0-r0.apk | 2.6 KiB | 2024-Oct-25 19:03 |
sxcs-1.1.0-r0.apk | 8.1 KiB | 2024-Oct-25 19:03 |
swig3-doc-3.0.12-r3.apk | 3.6 KiB | 2024-Oct-25 19:03 |
swig3-3.0.12-r3.apk | 1.3 MiB | 2024-Oct-25 19:03 |
swi-prolog-xpce-doc-9.2.9-r0.apk | 1.0 MiB | 2024-Dec-21 10:09 |
swi-prolog-xpce-9.2.9-r0.apk | 921.8 KiB | 2024-Dec-21 10:09 |
swi-prolog-pyc-9.2.9-r0.apk | 22.5 KiB | 2024-Dec-21 10:09 |
swi-prolog-doc-9.2.9-r0.apk | 2.1 MiB | 2024-Dec-21 10:09 |
swi-prolog-9.2.9-r0.apk | 4.7 MiB | 2024-Dec-21 10:09 |
swhkd-doc-1.2.1-r0.apk | 6.2 KiB | 2024-Oct-25 19:03 |
swhkd-1.2.1-r0.apk | 1.1 MiB | 2024-Oct-25 19:03 |
swayhide-0.2.1-r2.apk | 255.5 KiB | 2024-Oct-25 19:03 |
sway-audio-idle-inhibit-0.1.2-r0.apk | 9.8 KiB | 2024-Oct-25 19:03 |
swappy-lang-1.5.1-r0.apk | 3.6 KiB | 2024-Oct-25 19:03 |
swappy-doc-1.5.1-r0.apk | 3.7 KiB | 2024-Oct-25 19:03 |
swappy-1.5.1-r0.apk | 29.8 KiB | 2024-Oct-25 19:03 |
swaks-doc-20240103.0-r0.apk | 49.6 KiB | 2024-Oct-25 19:03 |
swaks-20240103.0-r0.apk | 66.1 KiB | 2024-Oct-25 19:03 |
svt-vp9-libs-0.3.0-r2.apk | 515.4 KiB | 2024-Oct-25 19:03 |
svt-vp9-doc-0.3.0-r2.apk | 4.8 KiB | 2024-Oct-25 19:03 |
svt-vp9-dev-0.3.0-r2.apk | 7.4 KiB | 2024-Oct-25 19:03 |
svt-vp9-0.3.0-r2.apk | 17.2 KiB | 2024-Oct-25 19:03 |
svt-hevc-libs-1.5.1-r2.apk | 733.4 KiB | 2024-Oct-25 19:03 |
svt-hevc-doc-1.5.1-r2.apk | 5.0 KiB | 2024-Oct-25 19:03 |
svt-hevc-dev-1.5.1-r2.apk | 10.4 KiB | 2024-Oct-25 19:03 |
svt-hevc-1.5.1-r2.apk | 31.3 KiB | 2024-Oct-25 19:03 |
svls-doc-0.2.12-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
svls-0.2.12-r0.apk | 3.4 MiB | 2024-Oct-25 19:03 |
svgbob-0.7.2-r0.apk | 433.3 KiB | 2024-Oct-25 19:03 |
suru-icon-theme-20.05.1_git20221222-r1.apk | 3.0 MiB | 2024-Oct-25 19:03 |
surfraw-doc-2.3.0-r0.apk | 17.7 KiB | 2024-Oct-25 19:03 |
surfraw-2.3.0-r0.apk | 78.7 KiB | 2024-Oct-25 19:03 |
surf-doc-2.1-r3.apk | 4.6 KiB | 2024-Oct-25 19:03 |
surf-2.1-r3.apk | 20.9 KiB | 2024-Oct-25 19:03 |
supermin-doc-5.2.2-r2.apk | 9.4 KiB | 2024-Oct-25 19:03 |
supermin-5.2.2-r2.apk | 507.4 KiB | 2024-Oct-25 19:03 |
supercollider-dev-3.13.0-r5.apk | 40.7 KiB | 2024-Oct-25 19:03 |
supercollider-3.13.0-r5.apk | 7.7 MiB | 2024-Oct-25 19:03 |
sudo-ldap-1.9.14-r1.apk | 711.0 KiB | 2024-Oct-25 19:03 |
subtitleeditor-doc-0.54.0-r3.apk | 2.9 KiB | 2024-Oct-25 19:03 |
subtitleeditor-dev-0.54.0-r3.apk | 1.5 KiB | 2024-Oct-25 19:03 |
subtitleeditor-0.54.0-r3.apk | 1.4 MiB | 2024-Oct-25 19:03 |
subliminal-pyc-2.2.1-r0.apk | 134.6 KiB | 2024-Oct-25 19:03 |
subliminal-2.2.1-r0.apk | 68.3 KiB | 2024-Oct-25 19:03 |
sublime-music-pyc-0.12.0-r1.apk | 302.2 KiB | 2024-Oct-25 19:03 |
sublime-music-0.12.0-r1.apk | 189.5 KiB | 2024-Oct-25 19:03 |
subdl-pyc-0_git20230616-r1.apk | 14.1 KiB | 2024-Oct-25 19:03 |
subdl-0_git20230616-r1.apk | 8.7 KiB | 2024-Oct-25 19:03 |
stw-doc-0.3-r0.apk | 2.5 KiB | 2024-Oct-25 19:03 |
stw-0.3-r0.apk | 8.1 KiB | 2024-Oct-25 19:03 |
sturmreader-lang-3.7.2-r0.apk | 39.5 KiB | 2024-Oct-25 19:03 |
sturmreader-3.7.2-r0.apk | 1.0 MiB | 2024-Oct-25 19:03 |
stubbyboot-efistub-1.0.2-r2.apk | 25.9 KiB | 2024-Oct-25 19:03 |
stubbyboot-1.0.2-r2.apk | 3.3 KiB | 2024-Oct-25 19:03 |
strfry-openrc-0.9.6-r0.apk | 2.1 KiB | 2024-Oct-25 19:03 |
strfry-0.9.6-r0.apk | 1.5 MiB | 2024-Oct-25 19:03 |
stone-soup-0.32.1-r0.apk | 32.1 MiB | 2024-Oct-25 19:03 |
stockfish-16-r0.apk | 32.7 MiB | 2024-Oct-25 19:03 |
sthttpd-openrc-2.27.1-r2.apk | 2.0 KiB | 2024-Oct-25 19:03 |
sthttpd-doc-2.27.1-r2.apk | 18.2 KiB | 2024-Oct-25 19:03 |
sthttpd-2.27.1-r2.apk | 57.3 KiB | 2024-Oct-25 19:03 |
stgit-zsh-completion-2.4.7-r1.apk | 23.6 KiB | 2024-Oct-25 19:03 |
stgit-vim-2.4.7-r1.apk | 3.5 KiB | 2024-Oct-25 19:03 |
stgit-fish-completion-2.4.7-r1.apk | 11.7 KiB | 2024-Oct-25 19:03 |
stgit-emacs-2.4.7-r1.apk | 27.6 KiB | 2024-Oct-25 19:03 |
stgit-doc-2.4.7-r1.apk | 126.2 KiB | 2024-Oct-25 19:03 |
stgit-bash-completion-2.4.7-r1.apk | 17.0 KiB | 2024-Oct-25 19:03 |
stgit-2.4.7-r1.apk | 1.9 MiB | 2024-Oct-25 19:03 |
stern-zsh-completion-1.31.0-r0.apk | 4.0 KiB | 2024-Oct-25 19:03 |
stern-fish-completion-1.31.0-r0.apk | 4.3 KiB | 2024-Oct-25 19:03 |
stern-bash-completion-1.31.0-r0.apk | 5.8 KiB | 2024-Oct-25 19:03 |
stern-1.31.0-r0.apk | 18.2 MiB | 2024-Oct-25 19:03 |
steghide-doc-0.5.1.1-r0.apk | 13.7 KiB | 2024-Oct-25 19:03 |
steghide-0.5.1.1-r0.apk | 146.3 KiB | 2024-Oct-25 19:03 |
steamguard-cli-zsh-completion-0.9.6-r0.apk | 3.6 KiB | 2024-Oct-25 19:03 |
steamguard-cli-bash-completion-0.9.6-r0.apk | 2.5 KiB | 2024-Oct-25 19:03 |
steamguard-cli-0.9.6-r0.apk | 2.2 MiB | 2024-Oct-25 19:03 |
stayrtr-openrc-0.6.1-r0.apk | 2.0 KiB | 2024-Oct-25 19:03 |
stayrtr-0.6.1-r0.apk | 10.3 MiB | 2024-Oct-25 19:03 |
startup-tools-2.0.3-r5.apk | 13.3 KiB | 2024-Oct-25 19:03 |
startup-lang-2.0.3-r5.apk | 16.5 KiB | 2024-Oct-25 19:03 |
startup-fish-completion-2.0.3-r5.apk | 5.4 KiB | 2024-Oct-25 19:03 |
startup-doc-2.0.3-r5.apk | 47.6 KiB | 2024-Oct-25 19:03 |
startup-dev-2.0.3-r5.apk | 5.8 KiB | 2024-Oct-25 19:03 |
startup-bridge-udev-2.0.3-r5.apk | 31.9 KiB | 2024-Oct-25 19:03 |
startup-bridge-dconf-2.0.3-r5.apk | 32.1 KiB | 2024-Oct-25 19:03 |
startup-2.0.3-r5.apk | 441.5 KiB | 2024-Oct-25 19:03 |
starfighter-doc-2.4-r0.apk | 21.6 KiB | 2024-Oct-25 19:03 |
starfighter-2.4-r0.apk | 47.9 MiB | 2024-Oct-25 19:03 |
stardict-lang-3.0.6-r6.apk | 289.5 KiB | 2024-Oct-25 19:03 |
stardict-help-3.0.6-r6.apk | 3.4 MiB | 2024-Oct-25 19:03 |
stardict-doc-3.0.6-r6.apk | 2.2 KiB | 2024-Oct-25 19:03 |
stardict-3.0.6-r6.apk | 950.4 KiB | 2024-Oct-25 19:03 |
stacker-doc-1.0.0-r0.apk | 14.7 KiB | 2024-Oct-25 19:03 |
stacker-1.0.0-r0.apk | 29.2 MiB | 2024-Oct-25 19:03 |
sstp-client-doc-1.0.20-r1.apk | 4.8 KiB | 2024-Dec-01 17:08 |
sstp-client-1.0.20-r1.apk | 41.5 KiB | 2024-Dec-01 17:08 |
ssss-doc-0.5.7-r0.apk | 3.3 KiB | 2024-Oct-25 19:03 |
ssss-0.5.7-r0.apk | 12.4 KiB | 2024-Oct-25 19:03 |
sssd-openrc-2.9.3-r2.apk | 1.7 KiB | 2024-Oct-25 19:03 |
sssd-dev-2.9.3-r2.apk | 13.7 KiB | 2024-Oct-25 19:03 |
sssd-2.9.3-r2.apk | 1.7 MiB | 2024-Oct-25 19:03 |
sshuttle-pyc-1.1.2-r0.apk | 100.7 KiB | 2024-Oct-25 19:03 |
sshuttle-doc-1.1.2-r0.apk | 8.5 KiB | 2024-Oct-25 19:03 |
sshuttle-1.1.2-r0.apk | 62.3 KiB | 2024-Oct-25 19:03 |
sshsrv-1.0-r7.apk | 930.6 KiB | 2024-Oct-25 19:03 |
ssh-tools-1.8-r0.apk | 25.6 KiB | 2024-Oct-25 19:03 |
ssh-honeypot-openrc-0.1.1-r1.apk | 2.1 KiB | 2024-Oct-25 19:03 |
ssh-honeypot-0.1.1-r1.apk | 8.9 KiB | 2024-Oct-25 19:03 |
ssh-cert-authority-2.0.0-r21.apk | 4.7 MiB | 2024-Oct-25 19:03 |
ssdfs-tools-dev-4.09-r0.apk | 18.5 KiB | 2024-Oct-25 19:03 |
ssdfs-tools-4.09-r0.apk | 94.2 KiB | 2024-Oct-25 19:03 |
sregex-dev-0.0.1-r1.apk | 29.6 KiB | 2024-Oct-25 19:03 |
sregex-0.0.1-r1.apk | 25.4 KiB | 2024-Oct-25 19:03 |
srb2-data-2.2.13-r0.apk | 137.9 MiB | 2024-Oct-25 19:03 |
srb2-2.2.13-r0.apk | 1.7 MiB | 2024-Oct-25 19:03 |
srain-lang-1.8.0-r0.apk | 35.1 KiB | 2024-Nov-18 19:18 |
srain-1.8.0-r0.apk | 160.8 KiB | 2024-Nov-18 19:18 |
squeak-vm-doc-4.10.2.2614-r1.apk | 12.3 KiB | 2024-Oct-25 19:03 |
squeak-vm-4.10.2.2614-r1.apk | 470.8 KiB | 2024-Oct-25 19:03 |
sqm-scripts-1.6.0-r0.apk | 20.5 KiB | 2024-Oct-25 19:03 |
sqlmap-pyc-1.8.11-r0.apk | 1.2 MiB | 2024-Nov-09 22:24 |
sqlmap-1.8.11-r0.apk | 6.8 MiB | 2024-Nov-09 22:24 |
sqliteodbc-0.99991-r0.apk | 87.0 KiB | 2024-Oct-25 19:03 |
sqlar-doc-0_git20180107-r1.apk | 3.3 KiB | 2024-Oct-25 19:03 |
sqlar-0_git20180107-r1.apk | 12.5 KiB | 2024-Oct-25 19:03 |
sqawk-doc-0.24.0-r0.apk | 113.1 KiB | 2024-Oct-25 19:03 |
sqawk-0.24.0-r0.apk | 14.3 KiB | 2024-Oct-25 19:03 |
sq-zsh-completion-0.48.3-r0.apk | 4.0 KiB | 2024-Oct-25 19:03 |
sq-fish-completion-0.48.3-r0.apk | 4.2 KiB | 2024-Oct-25 19:03 |
sq-doc-0.48.3-r0.apk | 5.8 KiB | 2024-Oct-25 19:03 |
sq-bash-completion-0.48.3-r0.apk | 5.0 KiB | 2024-Oct-25 19:03 |
sq-0.48.3-r0.apk | 10.3 MiB | 2024-Oct-25 19:03 |
spvm-thread-doc-0.002-r0.apk | 5.8 KiB | 2024-Nov-25 08:06 |
spvm-thread-0.002-r0.apk | 11.3 KiB | 2024-Nov-25 08:06 |
spvm-mime-base64-doc-1.001003-r1.apk | 5.4 KiB | 2024-Oct-25 19:03 |
spvm-mime-base64-1.001003-r1.apk | 15.5 KiB | 2024-Oct-25 19:03 |
spvm-math-doc-1.001-r1.apk | 6.1 KiB | 2024-Oct-25 19:03 |
spvm-math-1.001-r1.apk | 24.2 KiB | 2024-Oct-25 19:03 |
spvm-errno-doc-0.092-r1.apk | 5.9 KiB | 2024-Oct-25 19:03 |
spvm-errno-0.092-r1.apk | 17.2 KiB | 2024-Oct-25 19:03 |
spread-sheet-widget-doc-0.10-r0.apk | 4.6 KiB | 2024-Oct-25 19:03 |
spread-sheet-widget-dev-0.10-r0.apk | 363.4 KiB | 2024-Oct-25 19:03 |
spread-sheet-widget-dbg-0.10-r0.apk | 192.7 KiB | 2024-Oct-25 19:03 |
spread-sheet-widget-0.10-r0.apk | 44.2 KiB | 2024-Oct-25 19:03 |
spotify-tui-0.25.0-r2.apk | 1.8 MiB | 2024-Oct-25 19:03 |
spotify-player-0.18.1-r0.apk | 3.4 MiB | 2024-Oct-25 19:03 |
sponskrub-3.7.2-r7.apk | 190.4 KiB | 2024-Oct-25 19:03 |
spnavcfg-1.1-r0.apk | 37.6 KiB | 2024-Oct-25 19:03 |
splitter-doc-0.3.0-r0.apk | 3.9 KiB | 2024-Oct-25 19:03 |
splitter-0.3.0-r0.apk | 420.8 KiB | 2024-Oct-25 19:03 |
spiritvnc-0.6.5-r0.apk | 47.8 KiB | 2024-Nov-03 05:09 |
spiped-1.6.2-r1.apk | 77.8 KiB | 2024-Oct-25 19:03 |
spin-doc-6.5.2-r1.apk | 5.9 KiB | 2024-Oct-25 19:03 |
spin-6.5.2-r1.apk | 361.7 KiB | 2024-Oct-25 19:03 |
spike-1.1.0-r0.apk | 1.5 MiB | 2024-Oct-25 19:03 |
spice-html5-0.3.0-r1.apk | 438.5 KiB | 2024-Oct-25 19:03 |
speedtest_exporter-openrc-0.3.2-r10.apk | 1.9 KiB | 2024-Oct-25 19:03 |
speedtest_exporter-0.3.2-r10.apk | 3.6 MiB | 2024-Oct-25 19:03 |
speedtest-go-openrc-1.1.5-r10.apk | 1.7 KiB | 2024-Oct-25 19:03 |
speedtest-go-doc-1.1.5-r10.apk | 4.5 KiB | 2024-Oct-25 19:03 |
speedtest-go-1.1.5-r10.apk | 5.3 MiB | 2024-Oct-25 19:03 |
speedtest-examples-5.2.5-r1.apk | 13.0 KiB | 2024-Oct-25 19:03 |
speedtest-doc-5.2.5-r1.apk | 18.4 KiB | 2024-Oct-25 19:03 |
speedtest-5.2.5-r1.apk | 253.5 KiB | 2024-Oct-25 19:03 |
speedcrunch-0.12-r3.apk | 1.1 MiB | 2024-Oct-25 19:03 |
spark-2.8.3-r1.apk | 28.9 MiB | 2024-Oct-25 19:03 |
spampd-openrc-2.61-r1.apk | 2.1 KiB | 2024-Oct-25 19:03 |
spampd-2.61-r1.apk | 38.6 KiB | 2024-Oct-25 19:03 |
spacenavd-1.2-r0.apk | 32.2 KiB | 2024-Oct-25 19:03 |
spacectl-zsh-completion-1.0.0-r1.apk | 1.8 KiB | 2024-Oct-25 19:03 |
spacectl-fish-completion-1.0.0-r1.apk | 6.1 KiB | 2024-Oct-25 19:03 |
spacectl-doc-1.0.0-r1.apk | 2.3 KiB | 2024-Oct-25 19:03 |
spacectl-bash-completion-1.0.0-r1.apk | 2.0 KiB | 2024-Oct-25 19:03 |
spacectl-1.0.0-r1.apk | 5.1 MiB | 2024-Oct-25 19:03 |
sourcegit-8.33-r0.apk | 25.6 MiB | 2024-Oct-25 19:03 |
soundfont-vintage-dreams-waves-doc-2.1-r2.apk | 2.2 KiB | 2024-Oct-25 19:03 |
soundfont-vintage-dreams-waves-2.1-r2.apk | 90.8 KiB | 2024-Oct-25 19:03 |
soundconverter-pyc-4.0.6-r0.apk | 74.8 KiB | 2024-Nov-12 15:33 |
soundconverter-lang-4.0.6-r0.apk | 106.8 KiB | 2024-Nov-12 15:33 |
soundconverter-doc-4.0.6-r0.apk | 4.5 KiB | 2024-Nov-12 15:33 |
soundconverter-4.0.6-r0.apk | 164.7 KiB | 2024-Nov-12 15:33 |
sos-0.8-r26.apk | 2.5 MiB | 2024-Oct-25 19:03 |
soqt-doc-1.6.3-r0.apk | 881.2 KiB | 2024-Dec-13 20:44 |
soqt-dev-1.6.3-r0.apk | 83.9 KiB | 2024-Dec-13 20:44 |
soqt-1.6.3-r0.apk | 218.2 KiB | 2024-Dec-13 20:44 |
sopwith-doc-2.5.0-r0.apk | 14.9 KiB | 2024-Oct-25 19:03 |
sopwith-2.5.0-r0.apk | 47.1 KiB | 2024-Oct-25 19:03 |
sonarr-openrc-4.0.11.2680-r0.apk | 2.0 KiB | 2024-Dec-02 23:37 |
sonarr-4.0.11.2680-r0.apk | 24.6 MiB | 2024-Dec-02 23:37 |
sonar-scanner-6.2.1.4610-r0.apk | 10.7 MiB | 2024-Dec-20 20:12 |
somebar-doc-1.0.3-r0.apk | 2.4 KiB | 2024-Oct-25 19:03 |
somebar-1.0.3-r0.apk | 43.4 KiB | 2024-Oct-25 19:03 |
solarus-quest-editor-1.7.0-r0.apk | 55.4 MiB | 2024-Oct-25 19:03 |
solarus-engine-doc-1.7.0-r0.apk | 3.4 KiB | 2024-Oct-25 19:03 |
solarus-engine-1.7.0-r0.apk | 2.1 MiB | 2024-Oct-25 19:03 |
solanum-lang-5.0.0-r0.apk | 40.3 KiB | 2024-Oct-25 19:03 |
solanum-5.0.0-r0.apk | 241.9 KiB | 2024-Oct-25 19:03 |
soapy-sdr-remote-openrc-0.5.2-r1.apk | 1.7 KiB | 2024-Oct-25 19:03 |
soapy-sdr-remote-doc-0.5.2-r1.apk | 2.4 KiB | 2024-Oct-25 19:03 |
soapy-sdr-remote-0.5.2-r1.apk | 195.0 KiB | 2024-Oct-25 19:03 |
soapy-hackrf-0.3.4-r2.apk | 28.0 KiB | 2024-Oct-25 19:03 |
soapy-bladerf-0.4.1-r0.apk | 40.6 KiB | 2024-Oct-25 19:03 |
so-0.4.10-r0.apk | 2.0 MiB | 2024-Oct-25 19:03 |
snore-doc-0.3.1-r0.apk | 3.1 KiB | 2024-Oct-25 19:03 |
snore-0.3.1-r0.apk | 4.4 KiB | 2024-Oct-25 19:03 |
snippets-ls-0.0.4_git20240617-r0.apk | 1.4 MiB | 2024-Nov-22 21:48 |
sndfile-tools-doc-1.5-r1.apk | 361.0 KiB | 2024-Oct-25 19:03 |
sndfile-tools-1.5-r1.apk | 38.5 KiB | 2024-Oct-25 19:03 |
snapweb-0.7.0-r0.apk | 385.4 KiB | 2024-Oct-25 19:03 |
snapraid-doc-12.3-r0.apk | 17.3 KiB | 2024-Oct-25 19:03 |
snapraid-12.3-r0.apk | 265.5 KiB | 2024-Oct-25 19:03 |
snapper-zsh-completion-0.12.0-r0.apk | 3.5 KiB | 2024-Nov-18 18:32 |
snapper-lang-0.12.0-r0.apk | 180.2 KiB | 2024-Nov-18 18:32 |
snapper-doc-0.12.0-r0.apk | 24.8 KiB | 2024-Nov-18 18:32 |
snapper-dev-0.12.0-r0.apk | 10.3 KiB | 2024-Nov-18 18:32 |
snapper-bash-completion-0.12.0-r0.apk | 3.0 KiB | 2024-Nov-18 18:32 |
snapper-0.12.0-r0.apk | 947.5 KiB | 2024-Nov-18 18:32 |
smplxmpp-doc-0.9.3-r4.apk | 24.8 KiB | 2024-Dec-18 12:39 |
smplxmpp-0.9.3-r4.apk | 147.5 KiB | 2024-Dec-18 12:39 |
smile-lang-2.9.5-r0.apk | 23.7 KiB | 2024-Oct-25 19:03 |
smile-2.9.5-r0.apk | 693.7 KiB | 2024-Oct-25 19:03 |
smassh-pyc-3.1.6-r0.apk | 70.2 KiB | 2024-Nov-21 02:57 |
smassh-3.1.6-r0.apk | 72.4 KiB | 2024-Nov-21 02:57 |
slurm-doc-0.4.4-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
slurm-0.4.4-r0.apk | 14.0 KiB | 2024-Oct-25 19:03 |
sloccount-doc-2.26-r3.apk | 59.4 KiB | 2024-Oct-25 19:03 |
sloccount-2.26-r3.apk | 62.3 KiB | 2024-Oct-25 19:03 |
slidge-pyc-0.1.3-r0.apk | 285.0 KiB | 2024-Oct-25 19:03 |
slidge-openrc-0.1.3-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
slidge-matridge-pyc-0.1.0-r0.apk | 37.9 KiB | 2024-Oct-25 19:03 |
slidge-matridge-openrc-0.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 19:03 |
slidge-matridge-0.1.0-r0.apk | 29.7 KiB | 2024-Oct-25 19:03 |
slidge-doc-0.1.3-r0.apk | 4.5 KiB | 2024-Oct-25 19:03 |
slidge-0.1.3-r0.apk | 144.6 KiB | 2024-Oct-25 19:03 |
skia-sharp-dev-0_git20230912-r0.apk | 1.6 KiB | 2024-Oct-25 19:03 |
skia-sharp-0_git20230912-r0.apk | 4.0 MiB | 2024-Oct-25 19:03 |
sish-openrc-2.16.0-r2.apk | 1.9 KiB | 2024-Oct-25 19:03 |
sish-2.16.0-r2.apk | 7.8 MiB | 2024-Oct-25 19:03 |
siril-lang-1.2.5-r0.apk | 1.5 MiB | 2024-Nov-22 09:37 |
siril-doc-1.2.5-r0.apk | 17.7 KiB | 2024-Nov-22 09:37 |
siril-1.2.5-r0.apk | 2.9 MiB | 2024-Nov-22 09:37 |
sipgrep-2.2.0-r1.apk | 25.5 KiB | 2024-Oct-25 19:03 |
sipexer-1.1.0-r8.apk | 2.6 MiB | 2024-Oct-25 19:03 |
singular-static-4.4.0-r0.apk | 5.4 MiB | 2024-Oct-25 19:03 |
singular-emacs-4.4.0-r0.apk | 101.1 KiB | 2024-Oct-25 19:03 |
singular-doc-4.4.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:03 |
singular-dev-4.4.0-r0.apk | 371.4 KiB | 2024-Oct-25 19:03 |
singular-4.4.0-r0.apk | 10.1 MiB | 2024-Oct-25 19:03 |
sing-geosite-20241210004721-r0.apk | 1.1 MiB | 2024-Dec-10 09:39 |
sing-geoip-20241112-r0.apk | 1.6 MiB | 2024-Dec-10 09:39 |
sing-box-zsh-completion-1.10.3-r0.apk | 4.0 KiB | 2024-Dec-10 09:39 |
sing-box-openrc-1.10.3-r0.apk | 2.0 KiB | 2024-Dec-10 09:39 |
sing-box-fish-completion-1.10.3-r0.apk | 4.3 KiB | 2024-Dec-10 09:39 |
sing-box-bash-completion-1.10.3-r0.apk | 5.1 KiB | 2024-Dec-10 09:39 |
sing-box-1.10.3-r0.apk | 11.2 MiB | 2024-Dec-10 09:39 |
simpleiot-0.14.3-r5.apk | 10.6 MiB | 2024-Oct-25 19:03 |
simpleble-dev-0.6.1-r2.apk | 19.2 KiB | 2024-Dec-14 21:23 |
simpleble-0.6.1-r2.apk | 1.2 KiB | 2024-Dec-14 21:23 |
simp1e-cursors-solarized-0_git20211003-r0.apk | 717.4 KiB | 2024-Oct-25 19:03 |
simp1e-cursors-snow-0_git20211003-r0.apk | 827.9 KiB | 2024-Oct-25 19:03 |
simp1e-cursors-dark-0_git20211003-r0.apk | 779.7 KiB | 2024-Oct-25 19:03 |
simp1e-cursors-breeze-0_git20211003-r0.apk | 857.1 KiB | 2024-Oct-25 19:03 |
simp1e-cursors-0_git20211003-r0.apk | 741.1 KiB | 2024-Oct-25 19:03 |
simh-3.11.1-r1.apk | 3.0 MiB | 2024-Oct-25 19:03 |
simgear-dev-2020.3.19-r1.apk | 394.2 KiB | 2024-Oct-25 19:03 |
simgear-2020.3.19-r1.apk | 1.7 MiB | 2024-Oct-25 19:03 |
simavr-dev-1.7-r1.apk | 858.6 KiB | 2024-Oct-25 19:03 |
simavr-1.7-r1.apk | 93.8 KiB | 2024-Oct-25 19:03 |
silc-client-doc-1.1.11-r17.apk | 82.8 KiB | 2024-Oct-25 19:03 |
silc-client-1.1.11-r17.apk | 855.3 KiB | 2024-Oct-25 19:03 |
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.1 KiB | 2024-Oct-25 19:03 |
sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2024-Oct-25 19:03 |
sigrok-cli-0.7.2-r0.apk | 38.6 KiB | 2024-Oct-25 19:03 |
signal-desktop-7.36.0-r1.apk | 56.6 MiB | 2024-Dec-16 11:52 |
sigma-pyc-0.23.1-r1.apk | 340.0 KiB | 2024-Oct-25 19:03 |
sigma-0.23.1-r1.apk | 236.8 KiB | 2024-Oct-25 19:03 |
sigil-lang-2.1.0-r0.apk | 3.1 MiB | 2024-Oct-25 19:03 |
sigil-2.1.0-r0.apk | 4.1 MiB | 2024-Oct-25 19:03 |
shutdown-clear-machine-id-1.0.0-r0.apk | 1.8 KiB | 2024-Oct-25 19:03 |
showtime-lang-47.0-r0.apk | 36.2 KiB | 2024-Nov-08 04:49 |
showtime-47.0-r0.apk | 43.9 KiB | 2024-Nov-08 04:49 |
shntool-doc-3.0.10-r4.apk | 10.3 KiB | 2024-Oct-25 19:03 |
shntool-3.0.10-r4.apk | 58.6 KiB | 2024-Oct-25 19:03 |
shipments-0.3.0-r0.apk | 23.5 KiB | 2024-Oct-25 19:03 |
shine-3.1.1-r0.apk | 54.1 KiB | 2024-Oct-25 19:03 |
shfm-doc-0.4.2-r1.apk | 6.1 KiB | 2024-Oct-25 19:03 |
shfm-0.4.2-r1.apk | 4.0 KiB | 2024-Oct-25 19:03 |
shellinabox-openrc-2.21-r3.apk | 3.5 KiB | 2024-Oct-25 19:03 |
shellinabox-doc-2.21-r3.apk | 19.4 KiB | 2024-Oct-25 19:03 |
shellinabox-2.21-r3.apk | 117.8 KiB | 2024-Oct-25 19:03 |
shc-4.0.3-r2.apk | 16.5 KiB | 2024-Oct-25 19:03 |
shadowsocks-libev-doc-3.3.5-r4.apk | 28.0 KiB | 2024-Oct-25 19:03 |
shadowsocks-libev-dev-3.3.5-r4.apk | 3.5 KiB | 2024-Oct-25 19:03 |
shadowsocks-libev-3.3.5-r4.apk | 218.8 KiB | 2024-Oct-25 19:03 |
sgt-puzzles-0_git20230310-r2.apk | 2.6 MiB | 2024-Oct-25 19:03 |
sfwbar-doc-1.0_beta16-r1.apk | 26.5 KiB | 2024-Dec-12 19:02 |
sfwbar-1.0_beta16-r1.apk | 269.5 KiB | 2024-Dec-12 19:02 |
sflowtool-doc-6.02-r0.apk | 9.4 KiB | 2024-Oct-25 19:03 |
sflowtool-6.02-r0.apk | 40.0 KiB | 2024-Oct-25 19:03 |
setroot-doc-2.0.2-r1.apk | 4.4 KiB | 2024-Oct-25 19:03 |
setroot-2.0.2-r1.apk | 12.0 KiB | 2024-Oct-25 19:03 |
serialdv-libs-1.1.4-r0.apk | 61.0 KiB | 2024-Oct-25 19:03 |
serialdv-dev-1.1.4-r0.apk | 5.4 KiB | 2024-Oct-25 19:03 |
serialdv-1.1.4-r0.apk | 6.8 KiB | 2024-Oct-25 19:03 |
sentrypeer-doc-3.0.2-r0.apk | 3.3 KiB | 2024-Oct-25 19:03 |
sentrypeer-3.0.2-r0.apk | 23.6 KiB | 2024-Oct-25 19:03 |
sentinel-proxy-openrc-2.1.0-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
sentinel-proxy-dev-2.1.0-r0.apk | 4.5 KiB | 2024-Oct-25 19:03 |
sentinel-proxy-2.1.0-r0.apk | 42.8 KiB | 2024-Oct-25 19:03 |
sentinel-minipot-openrc-2.3.0-r1.apk | 2.6 KiB | 2024-Oct-25 19:03 |
sentinel-minipot-2.3.0-r1.apk | 44.6 KiB | 2024-Oct-25 19:03 |
seed7-vim-05.20240322-r0.apk | 4.0 KiB | 2024-Oct-25 19:03 |
seed7-nano-05.20240322-r0.apk | 2.5 KiB | 2024-Oct-25 19:03 |
seed7-doc-05.20240322-r0.apk | 1.6 MiB | 2024-Oct-25 19:03 |
seed7-05.20240322-r0.apk | 10.0 MiB | 2024-Oct-25 19:03 |
sedutil-doc-1.15.1-r1.apk | 3.1 KiB | 2024-Oct-25 19:03 |
sedutil-1.15.1-r1.apk | 187.7 KiB | 2024-Oct-25 19:03 |
secsipidx-libs-1.3.2-r7.apk | 2.2 MiB | 2024-Oct-25 19:03 |
secsipidx-dev-1.3.2-r7.apk | 4.6 MiB | 2024-Oct-25 19:03 |
secsipidx-1.3.2-r7.apk | 2.5 MiB | 2024-Oct-25 19:03 |
seaweedfs-openrc-3.79-r0.apk | 1.8 KiB | 2024-Nov-10 08:13 |
seaweedfs-doc-3.79-r0.apk | 13.6 KiB | 2024-Nov-10 08:13 |
seaweedfs-3.79-r0.apk | 23.1 MiB | 2024-Nov-10 08:13 |
seastar-testing-22.11.0_git20240815-r4.apk | 161.3 KiB | 2024-Dec-18 09:37 |
seastar-dev-22.11.0_git20240815-r4.apk | 416.1 KiB | 2024-Dec-18 09:37 |
seastar-22.11.0_git20240815-r4.apk | 1.5 MiB | 2024-Dec-18 09:37 |
sdrangel-7.20.0-r1.apk | 43.0 MiB | 2024-Oct-25 19:03 |
sdparm-doc-1.12-r1.apk | 19.3 KiB | 2024-Oct-25 19:03 |
sdparm-1.12-r1.apk | 148.4 KiB | 2024-Oct-25 19:03 |
sdl3-doc-3.1.6-r0.apk | 2.1 KiB | 2024-Nov-02 20:29 |
sdl3-dev-3.1.6-r0.apk | 27.0 MiB | 2024-Nov-02 20:29 |
sdl3-dbg-3.1.6-r0.apk | 4.0 MiB | 2024-Nov-02 20:29 |
sdl3-3.1.6-r0.apk | 781.6 KiB | 2024-Nov-02 20:29 |
sct-2018.12.18-r1.apk | 3.8 KiB | 2024-Oct-25 19:03 |
scrypt-doc-1.3.2-r0.apk | 4.3 KiB | 2024-Oct-25 19:03 |
scrypt-1.3.2-r0.apk | 31.0 KiB | 2024-Oct-25 19:03 |
screenkey-pyc-1.5-r6.apk | 73.4 KiB | 2024-Oct-25 19:03 |
screenkey-doc-1.5-r6.apk | 11.0 KiB | 2024-Oct-25 19:03 |
screenkey-1.5-r6.apk | 77.0 KiB | 2024-Oct-25 19:03 |
scratch-doc-1.4.0.7-r1.apk | 2.6 KiB | 2024-Oct-25 19:03 |
scratch-1.4.0.7-r1.apk | 39.3 MiB | 2024-Oct-25 19:03 |
scooper-doc-1.3-r1.apk | 2.6 KiB | 2024-Oct-25 19:03 |
scooper-1.3-r1.apk | 496.5 KiB | 2024-Oct-25 19:03 |
schismtracker-doc-20231029-r0.apk | 6.2 KiB | 2024-Oct-25 19:03 |
schismtracker-20231029-r0.apk | 371.4 KiB | 2024-Oct-25 19:03 |
scap-workbench-doc-1.2.1-r3.apk | 1.6 MiB | 2024-Oct-25 19:03 |
scap-workbench-1.2.1-r3.apk | 229.9 KiB | 2024-Oct-25 19:03 |
scalingo-1.30.0-r5.apk | 5.2 MiB | 2024-Oct-25 19:03 |
sc3-plugins-3.13.0-r1.apk | 9.7 MiB | 2024-Oct-25 19:03 |
sc-im-doc-0.8.4-r0.apk | 4.7 KiB | 2024-Oct-25 19:03 |
sc-im-0.8.4-r0.apk | 166.0 KiB | 2024-Oct-25 19:03 |
sc-controller-pyc-0.4.8.13-r1.apk | 873.0 KiB | 2024-Oct-25 19:03 |
sc-controller-0.4.8.13-r1.apk | 1.9 MiB | 2024-Oct-25 19:03 |
sblim-wbemcli-doc-1.6.3-r1.apk | 4.5 KiB | 2024-Oct-25 19:03 |
sblim-wbemcli-1.6.3-r1.apk | 99.2 KiB | 2024-Oct-25 19:03 |
sblim-sfcc-doc-2.2.8-r3.apk | 34.6 KiB | 2024-Oct-25 19:03 |
sblim-sfcc-dev-2.2.8-r3.apk | 22.3 KiB | 2024-Oct-25 19:03 |
sblim-sfcc-2.2.8-r3.apk | 51.4 KiB | 2024-Oct-25 19:03 |
sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2024-Oct-25 19:03 |
sblg-0.5.11-r0.apk | 41.5 KiB | 2024-Oct-25 19:03 |
sbase-doc-0_git20210730-r3.apk | 58.2 KiB | 2024-Oct-25 19:03 |
sbase-0_git20210730-r3.apk | 117.7 KiB | 2024-Oct-25 19:03 |
sauerbraten-2020.12.29-r3.apk | 934.1 MiB | 2024-Oct-25 19:03 |
satellite-openrc-1.0.0-r23.apk | 1.9 KiB | 2024-Oct-25 19:03 |
satellite-doc-1.0.0-r23.apk | 3.0 KiB | 2024-Oct-25 19:03 |
satellite-1.0.0-r23.apk | 2.0 MiB | 2024-Oct-25 19:03 |
sane-airscan-doc-0.99.29-r0.apk | 5.7 KiB | 2024-Oct-25 19:03 |
sane-airscan-0.99.29-r0.apk | 192.7 KiB | 2024-Oct-25 19:03 |
sandbar-0.1-r0.apk | 14.2 KiB | 2024-Oct-25 19:03 |
sacc-doc-1.07-r0.apk | 2.9 KiB | 2024-Oct-25 19:03 |
sacc-1.07-r0.apk | 15.9 KiB | 2024-Oct-25 19:03 |
saait-doc-0.8-r0.apk | 12.7 KiB | 2024-Oct-25 19:03 |
saait-0.8-r0.apk | 6.8 KiB | 2024-Oct-25 19:03 |
s5cmd-2.2.2-r5.apk | 4.9 MiB | 2024-Oct-25 19:03 |
s-postgray-doc-0.8.3-r0.apk | 9.6 KiB | 2024-Oct-25 19:03 |
s-postgray-0.8.3-r0.apk | 45.3 KiB | 2024-Oct-25 19:03 |
s-dkim-sign-doc-0.6.2-r0.apk | 8.5 KiB | 2024-Oct-25 19:03 |
s-dkim-sign-0.6.2-r0.apk | 54.1 KiB | 2024-Oct-25 19:03 |
rygel-lang-0.44.1-r0.apk | 567.2 KiB | 2024-Dec-01 12:57 |
rygel-doc-0.44.1-r0.apk | 9.6 KiB | 2024-Dec-01 12:57 |
rygel-dev-0.44.1-r0.apk | 42.6 KiB | 2024-Dec-01 12:57 |
rygel-0.44.1-r0.apk | 772.0 KiB | 2024-Dec-01 12:57 |
ry-zsh-completion-0.5.2-r1.apk | 2.3 KiB | 2024-Oct-25 19:03 |
ry-bash-completion-0.5.2-r1.apk | 2.0 KiB | 2024-Oct-25 19:03 |
ry-0.5.2-r1.apk | 4.6 KiB | 2024-Oct-25 19:03 |
rvlprog-0.91-r2.apk | 27.8 KiB | 2024-Oct-25 19:03 |
ruuvi-prometheus-openrc-0.1.7-r5.apk | 1.7 KiB | 2024-Oct-25 19:03 |
ruuvi-prometheus-0.1.7-r5.apk | 3.5 MiB | 2024-Oct-25 19:03 |
rustscan-2.3.0-r0.apk | 1.5 MiB | 2024-Oct-25 19:03 |
rustic-zsh-completion-0.9.3-r0.apk | 13.0 KiB | 2024-Oct-25 19:03 |
rustic-fish-completion-0.9.3-r0.apk | 16.7 KiB | 2024-Oct-25 19:03 |
rustic-bash-completion-0.9.3-r0.apk | 8.6 KiB | 2024-Oct-25 19:03 |
rustic-0.9.3-r0.apk | 6.1 MiB | 2024-Oct-25 19:03 |
rustdesk-server-openrc-1.1.10.3-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
rustdesk-server-1.1.10.3-r0.apk | 2.4 MiB | 2024-Oct-25 19:03 |
rust-script-0.35.0-r0.apk | 923.5 KiB | 2024-Oct-27 17:16 |
runst-doc-0.1.7-r0.apk | 7.7 KiB | 2024-Oct-25 19:03 |
runst-0.1.7-r0.apk | 1.5 MiB | 2024-Oct-25 19:03 |
ruff-lsp-pyc-0.0.53-r0.apk | 34.7 KiB | 2024-Oct-25 19:03 |
ruff-lsp-0.0.53-r0.apk | 21.3 KiB | 2024-Oct-25 19:03 |
ruby-yard-doc-0.9.37-r0.apk | 48.4 KiB | 2024-Oct-25 19:03 |
ruby-yard-0.9.37-r0.apk | 595.6 KiB | 2024-Oct-25 19:03 |
ruby-syslog-0.2.0-r0.apk | 8.7 KiB | 2024-Dec-14 21:23 |
ruby-simplecov_json_formatter-doc-0.1.4-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
ruby-simplecov_json_formatter-0.1.4-r0.apk | 3.5 KiB | 2024-Oct-25 19:03 |
ruby-simplecov-html-doc-0.13.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
ruby-simplecov-html-0.13.1-r0.apk | 4.4 KiB | 2024-Oct-25 19:03 |
ruby-simplecov-doc-0.22.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:03 |
ruby-simplecov-cobertura-2.1.0-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
ruby-simplecov-0.22.0-r0.apk | 30.8 KiB | 2024-Oct-25 19:03 |
ruby-rainbow-3.1.1-r0.apk | 8.2 KiB | 2024-Oct-25 19:03 |
ruby-notify-doc-0.5.2-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
ruby-notify-0.5.2-r0.apk | 6.1 KiB | 2024-Oct-25 19:03 |
ruby-libguestfs-1.52.0-r1.apk | 105.4 KiB | 2024-Oct-25 19:03 |
ruby-docile-doc-1.4.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:03 |
ruby-docile-1.4.1-r0.apk | 6.0 KiB | 2024-Oct-25 19:03 |
ruby-build-runtime-20241213-r0.apk | 1.3 KiB | 2024-Dec-17 20:29 |
ruby-build-doc-20241213-r0.apk | 4.7 KiB | 2024-Dec-17 20:29 |
ruby-build-20241213-r0.apk | 88.2 KiB | 2024-Dec-17 20:29 |
ruby-base64-0.2.0-r0.apk | 5.2 KiB | 2024-Oct-25 19:03 |
rtw89-src-7_p20230725-r0.apk | 758.8 KiB | 2024-Oct-25 19:03 |
rtptools-doc-1.22-r2.apk | 12.6 KiB | 2024-Oct-25 19:03 |
rtptools-1.22-r2.apk | 28.2 KiB | 2024-Oct-25 19:03 |
rtmidi-dev-6.0.0-r0.apk | 14.1 KiB | 2024-Oct-25 19:03 |
rtmidi-6.0.0-r0.apk | 28.7 KiB | 2024-Oct-25 19:03 |
rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2024-Oct-25 19:03 |
rtl8821ce-src-5_git20230504-r0.apk | 4.3 MiB | 2024-Oct-25 19:03 |
rtl8812au-src-5.6.4.2_git20231103-r0.apk | 2.6 MiB | 2024-Oct-25 19:03 |
rtl-power-fftw-doc-20200601-r4.apk | 8.2 KiB | 2024-Oct-25 19:03 |
rtl-power-fftw-20200601-r4.apk | 58.8 KiB | 2024-Oct-25 19:03 |
rsstail-doc-2.1-r1.apk | 2.6 KiB | 2024-Oct-25 19:03 |
rsstail-2.1-r1.apk | 7.6 KiB | 2024-Oct-25 19:03 |
rss-email-doc-0.5.0-r0.apk | 6.4 KiB | 2024-Oct-25 19:03 |
rss-email-0.5.0-r0.apk | 2.2 MiB | 2024-Oct-25 19:03 |
rpi-imager-doc-1.9.0-r0.apk | 3.1 KiB | 2024-Oct-25 19:03 |
rpi-imager-1.9.0-r0.apk | 697.4 KiB | 2024-Oct-25 19:03 |
rpg-cli-1.2.0-r0.apk | 586.3 KiB | 2024-Oct-25 19:03 |
roswell-doc-24.10.115-r0.apk | 18.2 KiB | 2024-Oct-25 19:03 |
roswell-24.10.115-r0.apk | 111.1 KiB | 2024-Oct-25 19:03 |
rosenpass-0.2.2-r0.apk | 1.0 MiB | 2024-Oct-25 19:03 |
rosdep-pyc-0.19.0-r6.apk | 119.0 KiB | 2024-Oct-25 19:03 |
rosdep-0.19.0-r6.apk | 66.1 KiB | 2024-Oct-25 19:03 |
rook-getattr-0.2.0-r0.apk | 2.7 KiB | 2024-Oct-25 19:03 |
rook-doc-0.2.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:03 |
rook-autotype-0.2.0-r0.apk | 3.7 KiB | 2024-Oct-25 19:03 |
rook-0.2.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:03 |
rofi-pass-doc-2.0.2-r2.apk | 5.0 KiB | 2024-Oct-25 19:03 |
rofi-pass-2.0.2-r2.apk | 8.8 KiB | 2024-Oct-25 19:03 |
rofi-json-menu-0.2.0-r1.apk | 5.0 KiB | 2024-Oct-25 19:03 |
rofi-blocks-0.1.0-r0.apk | 11.1 KiB | 2024-Oct-25 19:03 |
rmlint-shredder-pyc-2.10.2-r2.apk | 124.5 KiB | 2024-Oct-25 19:03 |
rmlint-shredder-2.10.2-r2.apk | 96.0 KiB | 2024-Oct-25 19:03 |
rmlint-lang-2.10.2-r2.apk | 18.9 KiB | 2024-Oct-25 19:03 |
rmlint-doc-2.10.2-r2.apk | 17.8 KiB | 2024-Oct-25 19:03 |
rmlint-2.10.2-r2.apk | 140.9 KiB | 2024-Oct-25 19:03 |
rlottie-doc-0.2_git20230831-r0.apk | 12.6 KiB | 2024-Oct-25 19:03 |
rlottie-dev-0.2_git20230831-r0.apk | 9.4 KiB | 2024-Oct-25 19:03 |
rlottie-0.2_git20230831-r0.apk | 160.5 KiB | 2024-Oct-25 19:03 |
rke-doc-1.4.3-r10.apk | 3.0 KiB | 2024-Oct-25 19:03 |
rke-1.4.3-r10.apk | 20.1 MiB | 2024-Oct-25 19:03 |
rkdeveloptool-doc-1.1.0-r1.apk | 3.0 KiB | 2024-Oct-25 19:03 |
rkdeveloptool-1.1.0-r1.apk | 54.8 KiB | 2024-Oct-25 19:03 |
rizin-libs-0.6.3-r1.apk | 3.9 MiB | 2024-Oct-25 19:03 |
rizin-doc-0.6.3-r1.apk | 17.6 KiB | 2024-Oct-25 19:03 |
rizin-dev-0.6.3-r1.apk | 306.1 KiB | 2024-Oct-25 19:03 |
rizin-cutter-dev-2.3.2-r2.apk | 107.9 KiB | 2024-Oct-25 19:03 |
rizin-cutter-2.3.2-r2.apk | 2.1 MiB | 2024-Oct-25 19:03 |
rizin-0.6.3-r1.apk | 2.7 MiB | 2024-Oct-25 19:03 |
rivercarro-doc-0.5.0-r0.apk | 3.0 KiB | 2024-Oct-27 13:29 |
rivercarro-0.5.0-r0.apk | 151.1 KiB | 2024-Oct-27 13:29 |
river-shifttags-doc-0.2.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:03 |
river-shifttags-0.2.1-r0.apk | 6.0 KiB | 2024-Oct-25 19:03 |
river-luatile-0.1.3-r0.apk | 423.6 KiB | 2024-Oct-25 19:03 |
river-bedload-zsh-completion-0.1.1-r0.apk | 1.8 KiB | 2024-Oct-27 18:27 |
river-bedload-doc-0.1.1-r0.apk | 2.3 KiB | 2024-Oct-27 18:27 |
river-bedload-0.1.1-r0.apk | 138.2 KiB | 2024-Oct-27 18:27 |
ripdrag-0.4.10-r0.apk | 337.9 KiB | 2024-Oct-25 19:03 |
ripasso-cursive-0.6.5-r0.apk | 2.8 MiB | 2024-Oct-25 19:03 |
rio-terminfo-0.2.2-r0.apk | 3.4 KiB | 2024-Nov-24 22:44 |
rio-doc-0.2.2-r0.apk | 2.2 KiB | 2024-Nov-24 22:44 |
rio-0.2.2-r0.apk | 9.4 MiB | 2024-Nov-24 22:44 |
rinetd-openrc-0.73-r0.apk | 1.7 KiB | 2024-Oct-25 19:03 |
rinetd-doc-0.73-r0.apk | 16.4 KiB | 2024-Oct-25 19:03 |
rinetd-0.73-r0.apk | 14.0 KiB | 2024-Oct-25 19:03 |
rime-ls-0.4.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:03 |
riemann-cli-0.8.0-r2.apk | 515.0 KiB | 2024-Oct-25 19:03 |
ri-li-2.0.1-r1.apk | 18.3 MiB | 2024-Oct-25 19:03 |
rhasspy-nlu-pyc-0.4.0-r3.apk | 72.9 KiB | 2024-Oct-25 19:03 |
rhasspy-nlu-0.4.0-r3.apk | 43.6 KiB | 2024-Oct-25 19:03 |
rgxg-doc-0.1.2-r2.apk | 12.3 KiB | 2024-Oct-25 19:03 |
rgxg-dev-0.1.2-r2.apk | 3.5 KiB | 2024-Oct-25 19:03 |
rgxg-0.1.2-r2.apk | 13.3 KiB | 2024-Oct-25 19:03 |
rezolus-openrc-2.11.1-r3.apk | 2.1 KiB | 2024-Oct-25 19:03 |
rezolus-doc-2.11.1-r3.apk | 3.4 KiB | 2024-Oct-25 19:03 |
rezolus-2.11.1-r3.apk | 886.1 KiB | 2024-Oct-25 19:03 |
restinio-dev-0.6.19-r1.apk | 267.8 KiB | 2024-Dec-14 21:23 |
restinio-0.6.19-r1.apk | 1.2 KiB | 2024-Dec-14 21:23 |
restic.mk-0.4.0-r0.apk | 2.9 KiB | 2024-Oct-25 19:03 |
restart-services-doc-0.17.0-r0.apk | 5.9 KiB | 2024-Oct-25 19:03 |
restart-services-0.17.0-r0.apk | 11.5 KiB | 2024-Oct-25 19:03 |
responder-3.1.5.0-r0.apk | 750.0 KiB | 2024-Oct-25 19:03 |
resources-lang-1.6.0-r1.apk | 91.7 KiB | 2024-Oct-25 19:03 |
resources-1.6.0-r1.apk | 2.2 MiB | 2024-Oct-25 19:03 |
reredirect-doc-0.3-r0.apk | 2.8 KiB | 2024-Oct-25 19:03 |
reredirect-0.3-r0.apk | 8.7 KiB | 2024-Oct-25 19:03 |
reprotest-pyc-0.7.28-r0.apk | 103.3 KiB | 2024-Oct-25 19:03 |
reprotest-0.7.28-r0.apk | 81.4 KiB | 2024-Oct-25 19:03 |
repowerd-openrc-2023.07-r2.apk | 1.7 KiB | 2024-Oct-25 19:03 |
repowerd-2023.07-r2.apk | 863.3 KiB | 2024-Oct-25 19:03 |
repology-cli-doc-1.4.0-r0.apk | 4.2 KiB | 2024-Dec-22 07:01 |
repology-cli-1.4.0-r0.apk | 94.3 KiB | 2024-Dec-22 07:01 |
repo-doc-2.50.1-r0.apk | 38.5 KiB | 2024-Dec-20 20:12 |
repo-2.50.1-r0.apk | 16.8 KiB | 2024-Dec-20 20:12 |
repgrep-zsh-completion-0.15.0-r0.apk | 1.6 KiB | 2024-Oct-25 19:03 |
repgrep-fish-completion-0.15.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:03 |
repgrep-doc-0.15.0-r0.apk | 6.5 KiB | 2024-Oct-25 19:03 |
repgrep-bash-completion-0.15.0-r0.apk | 1.6 KiB | 2024-Oct-25 19:03 |
repgrep-0.15.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:03 |
remind-caldav-pyc-0.8.0-r4.apk | 6.1 KiB | 2024-Oct-25 19:03 |
remind-caldav-0.8.0-r4.apk | 17.7 KiB | 2024-Oct-25 19:03 |
remake-make-1.5-r1.apk | 1.5 KiB | 2024-Oct-25 19:03 |
remake-doc-1.5-r1.apk | 201.7 KiB | 2024-Oct-25 19:03 |
remake-dev-1.5-r1.apk | 2.9 KiB | 2024-Oct-25 19:03 |
remake-1.5-r1.apk | 137.3 KiB | 2024-Oct-25 19:03 |
regclient-0.7.1-r0.apk | 13.0 MiB | 2024-Oct-25 19:03 |
regal-zsh-completion-0.29.2-r0.apk | 4.0 KiB | 2024-Dec-14 21:23 |
regal-fish-completion-0.29.2-r0.apk | 4.3 KiB | 2024-Dec-14 21:23 |
regal-bash-completion-0.29.2-r0.apk | 5.0 KiB | 2024-Dec-14 21:23 |
regal-0.29.2-r0.apk | 10.1 MiB | 2024-Dec-14 21:23 |
reg-0.16.1-r23.apk | 4.4 MiB | 2024-Oct-25 19:03 |
refind-doc-0.14.2-r0.apk | 14.3 KiB | 2024-Oct-25 19:03 |
refind-0.14.2-r0.apk | 1.0 MiB | 2024-Oct-25 19:03 |
recyclarr-cli-7.4.0-r0.apk | 2.5 MiB | 2024-Nov-25 14:28 |
recoll-doc-1.37.5-r1.apk | 21.1 KiB | 2024-Oct-25 19:03 |
recoll-dev-1.37.5-r1.apk | 53.1 KiB | 2024-Oct-25 19:03 |
recoll-1.37.5-r1.apk | 2.7 MiB | 2024-Oct-25 19:03 |
reaver-wps-fork-t6x-1.6.6-r1.apk | 441.6 KiB | 2024-Oct-25 19:03 |
reason-rtop-3.8.2-r1.apk | 24.3 MiB | 2024-Oct-25 19:03 |
reason-3.8.2-r1.apk | 18.6 MiB | 2024-Oct-25 19:03 |
readosm-dev-1.1.0-r2.apk | 18.8 KiB | 2024-Oct-25 19:02 |
readosm-1.1.0-r2.apk | 14.1 KiB | 2024-Oct-25 19:02 |
reaction-tools-1.4.1-r1.apk | 17.5 KiB | 2024-Oct-25 19:02 |
reaction-openrc-1.4.1-r1.apk | 1.9 KiB | 2024-Oct-25 19:02 |
reaction-1.4.1-r1.apk | 2.2 MiB | 2024-Oct-25 19:02 |
rdrview-doc-0.1.2-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
rdrview-0.1.2-r0.apk | 27.4 KiB | 2024-Oct-25 19:02 |
rdedup-3.2.1-r5.apk | 793.9 KiB | 2024-Oct-25 19:02 |
rcon-cli-1.6.2-r6.apk | 2.5 MiB | 2024-Oct-25 19:02 |
rclone-browser-1.8.0-r1.apk | 333.6 KiB | 2024-Oct-25 19:02 |
razercfg-pyc-0.42-r7.apk | 35.6 KiB | 2024-Oct-25 19:02 |
razercfg-openrc-0.42-r7.apk | 1.7 KiB | 2024-Oct-25 19:02 |
razercfg-gui-0.42-r7.apk | 19.0 KiB | 2024-Oct-25 19:02 |
razercfg-0.42-r7.apk | 77.6 KiB | 2024-Oct-25 19:02 |
rauc-service-1.10.1-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
rauc-doc-1.10.1-r0.apk | 4.2 KiB | 2024-Oct-25 19:02 |
rauc-1.10.1-r0.apk | 142.5 KiB | 2024-Oct-25 19:02 |
rattler-build-zsh-completion-0.18.0-r0.apk | 5.4 KiB | 2024-Oct-25 19:02 |
rattler-build-fish-completion-0.18.0-r0.apk | 4.7 KiB | 2024-Oct-25 19:02 |
rattler-build-doc-0.18.0-r0.apk | 6.7 KiB | 2024-Oct-25 19:02 |
rattler-build-bash-completion-0.18.0-r0.apk | 3.6 KiB | 2024-Oct-25 19:02 |
rattler-build-0.18.0-r0.apk | 5.6 MiB | 2024-Oct-25 19:02 |
rathole-0.5.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:02 |
raspberrypi-usbboot-20210701-r3.apk | 1.5 MiB | 2024-Oct-25 19:02 |
rapidfuzz-3.2.0-r0.apk | 62.1 KiB | 2024-Dec-18 22:46 |
rankwidth-static-0.9-r3.apk | 4.3 KiB | 2024-Oct-25 19:02 |
rankwidth-libs-0.9-r3.apk | 4.8 KiB | 2024-Oct-25 19:02 |
rankwidth-doc-0.9-r3.apk | 3.0 KiB | 2024-Oct-25 19:02 |
rankwidth-dev-0.9-r3.apk | 2.9 KiB | 2024-Oct-25 19:02 |
rankwidth-0.9-r3.apk | 5.3 KiB | 2024-Oct-25 19:02 |
randrctl-pyc-1.10.0-r0.apk | 31.2 KiB | 2024-Nov-17 21:22 |
randrctl-1.10.0-r0.apk | 27.9 KiB | 2024-Nov-17 21:22 |
radarr-openrc-5.15.1.9463-r0.apk | 2.0 KiB | 2024-Nov-25 14:28 |
radarr-5.15.1.9463-r0.apk | 24.8 MiB | 2024-Nov-25 14:28 |
r2ghidra-5.9.4-r2.apk | 14.5 MiB | 2024-Nov-19 17:26 |
quodlibet-zsh-completion-4.6.0-r1.apk | 2.7 KiB | 2024-Oct-25 19:02 |
quodlibet-pyc-4.6.0-r1.apk | 1.8 MiB | 2024-Oct-25 19:02 |
quodlibet-lang-4.6.0-r1.apk | 1.2 MiB | 2024-Oct-25 19:02 |
quodlibet-doc-4.6.0-r1.apk | 8.7 KiB | 2024-Oct-25 19:02 |
quodlibet-bash-completion-4.6.0-r1.apk | 4.7 KiB | 2024-Oct-25 19:02 |
quodlibet-4.6.0-r1.apk | 1.1 MiB | 2024-Oct-25 19:02 |
queercat-1.0.0-r0.apk | 7.3 KiB | 2024-Oct-25 19:02 |
qucs-s-lang-1.1.0-r1.apk | 864.6 KiB | 2024-Oct-25 19:02 |
qucs-s-doc-1.1.0-r1.apk | 2.4 KiB | 2024-Oct-25 19:02 |
qucs-s-1.1.0-r1.apk | 3.4 MiB | 2024-Oct-25 19:02 |
quakespasm-0.96.3-r0.apk | 464.5 KiB | 2024-Oct-25 19:02 |
qtpass-doc-1.4.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:02 |
qtpass-1.4.0-r0.apk | 420.0 KiB | 2024-Oct-25 19:02 |
qtox-1.17.6-r6.apk | 5.0 MiB | 2024-Oct-25 19:02 |
qtmir-dev-0.7.2-r2.apk | 6.9 KiB | 2024-Oct-25 19:02 |
qtmir-0.7.2-r2.apk | 515.6 KiB | 2024-Oct-25 19:02 |
qtile-pyc-0.23.0-r2.apk | 724.3 KiB | 2024-Oct-25 19:02 |
qtile-0.23.0-r2.apk | 381.8 KiB | 2024-Oct-25 19:02 |
qt6ct-0.9-r3.apk | 191.5 KiB | 2024-Dec-09 19:39 |
qt5ct-dev-1.8-r0.apk | 1.5 KiB | 2024-Oct-25 19:02 |
qt5ct-1.8-r0.apk | 223.6 KiB | 2024-Oct-25 19:02 |
qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.9 KiB | 2024-Oct-25 19:02 |
qt-wayland-shell-helpers-0.1.1-r3.apk | 12.9 KiB | 2024-Oct-25 19:02 |
qt-jdenticon-doc-0.3.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
qt-jdenticon-0.3.1-r0.apk | 25.7 KiB | 2024-Oct-25 19:02 |
qt-creator-14.0.1-r0.apk | 42.2 MiB | 2024-Oct-25 19:02 |
qsynth-doc-1.0.2-r0.apk | 4.4 KiB | 2024-Oct-25 19:02 |
qsynth-1.0.2-r0.apk | 426.3 KiB | 2024-Oct-25 19:02 |
qstardict-doc-2.0.2-r1.apk | 10.6 KiB | 2024-Nov-24 10:45 |
qstardict-2.0.2-r1.apk | 445.9 KiB | 2024-Nov-24 10:45 |
qsstv-9.5.8-r2.apk | 974.3 KiB | 2024-Oct-25 19:02 |
qspectrumanalyzer-pyc-2.2.0-r5.apk | 62.3 KiB | 2024-Oct-25 19:02 |
qspectrumanalyzer-2.2.0-r5.apk | 53.8 KiB | 2024-Oct-25 19:02 |
qqc2-suru-style-0.20230206-r1.apk | 172.0 KiB | 2024-Oct-25 19:02 |
qperf-doc-0.4.11-r1.apk | 5.5 KiB | 2024-Oct-25 19:02 |
qperf-0.4.11-r1.apk | 33.5 KiB | 2024-Oct-25 19:02 |
qpdfview-doc-0.5-r1.apk | 4.3 KiB | 2024-Oct-25 19:02 |
qpdfview-0.5-r1.apk | 1006.8 KiB | 2024-Oct-25 19:02 |
qownnotes-lang-23.6.6-r0.apk | 4.4 MiB | 2024-Oct-25 19:02 |
qownnotes-23.6.6-r0.apk | 2.3 MiB | 2024-Oct-25 19:02 |
qoiconv-0.0.0_git20230312-r0.apk | 29.1 KiB | 2024-Oct-25 19:02 |
qoi-dev-0.0.0_git20230312-r0.apk | 6.8 KiB | 2024-Oct-25 19:02 |
qoi-0.0.0_git20230312-r0.apk | 1.5 KiB | 2024-Oct-25 19:02 |
qml-box2d-0_git20180406-r0.apk | 139.2 KiB | 2024-Oct-25 19:02 |
qmk-cli-pyc-1.1.6-r0.apk | 20.3 KiB | 2024-Oct-31 23:17 |
qmk-cli-1.1.6-r0.apk | 14.1 KiB | 2024-Oct-31 23:17 |
qgis-server-3.34.13-r0.apk | 1.6 MiB | 2024-Nov-30 21:06 |
qgis-lang-3.34.13-r0.apk | 31.4 MiB | 2024-Nov-30 21:06 |
qgis-grass-3.34.13-r0.apk | 1.3 MiB | 2024-Nov-30 21:06 |
qgis-doc-3.34.13-r0.apk | 3.0 KiB | 2024-Nov-30 21:06 |
qgis-dev-3.34.13-r0.apk | 3.3 MiB | 2024-Nov-30 21:06 |
qgis-3.34.13-r0.apk | 45.0 MiB | 2024-Nov-30 21:06 |
qflipper-gui-1.3.3-r1.apk | 1.1 MiB | 2024-Oct-25 19:02 |
qflipper-1.3.3-r1.apk | 476.0 KiB | 2024-Oct-25 19:02 |
qdjango-dev-0.6.2-r1.apk | 14.1 KiB | 2024-Oct-25 19:02 |
qdjango-0.6.2-r1.apk | 97.3 KiB | 2024-Oct-25 19:02 |
qbittorrent-cli-2.0.0-r6.apk | 5.1 MiB | 2024-Oct-25 19:02 |
pyradio-pyc-0.9.3.11-r0.apk | 810.3 KiB | 2024-Oct-25 19:02 |
pyradio-doc-0.9.3.11-r0.apk | 112.7 KiB | 2024-Oct-25 19:02 |
pyradio-0.9.3.11-r0.apk | 870.8 KiB | 2024-Oct-25 19:02 |
pypykatz-pyc-0.6.10-r0.apk | 707.7 KiB | 2024-Oct-25 19:02 |
pypykatz-0.6.10-r0.apk | 317.8 KiB | 2024-Oct-25 19:02 |
pypy3-tkinter-7.3.12-r0.apk | 299.7 KiB | 2024-Oct-25 19:02 |
pypy3-tests-7.3.12-r0.apk | 12.9 MiB | 2024-Oct-25 19:02 |
pypy3-pyc-7.3.12-r0.apk | 5.9 MiB | 2024-Oct-25 19:02 |
pypy3-dev-7.3.12-r0.apk | 555.9 KiB | 2024-Oct-25 19:02 |
pypy3-7.3.12-r0.apk | 17.4 MiB | 2024-Oct-25 19:02 |
pypy-tkinter-7.3.12-r0.apk | 451.6 KiB | 2024-Oct-25 19:02 |
pypy-dev-7.3.12-r0.apk | 78.1 KiB | 2024-Oct-25 19:02 |
pypy-bootstrap-7.3.12-r0.apk | 18.5 MiB | 2024-Oct-25 19:02 |
pypy-7.3.12-r0.apk | 17.9 MiB | 2024-Oct-25 19:02 |
pyonji-0.1.0-r0.apk | 2.8 MiB | 2024-Nov-21 03:04 |
pympress-pyc-1.8.5-r1.apk | 182.2 KiB | 2024-Oct-25 19:02 |
pympress-lang-1.8.5-r1.apk | 56.3 KiB | 2024-Oct-25 19:02 |
pympress-doc-1.8.5-r1.apk | 348.4 KiB | 2024-Oct-25 19:02 |
pympress-1.8.5-r1.apk | 181.2 KiB | 2024-Oct-25 19:02 |
pyinfra-pyc-3.1.1-r0.apk | 330.1 KiB | 2024-Oct-25 19:02 |
pyinfra-3.1.1-r0.apk | 175.9 KiB | 2024-Oct-25 19:02 |
py3-zope-schema-pyc-7.0.1-r3.apk | 61.1 KiB | 2024-Oct-25 19:02 |
py3-zope-schema-7.0.1-r3.apk | 45.3 KiB | 2024-Oct-25 19:02 |
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 8.0 KiB | 2024-Oct-25 19:02 |
py3-zope-i18nmessageid-6.1.0-r2.apk | 15.4 KiB | 2024-Oct-25 19:02 |
py3-zope-configuration-pyc-5.0.1-r2.apk | 48.5 KiB | 2024-Oct-25 19:02 |
py3-zope-configuration-5.0.1-r2.apk | 39.1 KiB | 2024-Oct-25 19:02 |
py3-zipfile2-pyc-0.0.12-r0.apk | 28.9 KiB | 2024-Oct-25 19:02 |
py3-zipfile2-0.0.12-r0.apk | 45.2 KiB | 2024-Oct-25 19:02 |
py3-zimscraperlib-pyc-3.4.0-r0.apk | 68.4 KiB | 2024-Nov-06 09:41 |
py3-zimscraperlib-3.4.0-r0.apk | 51.6 KiB | 2024-Nov-06 09:41 |
py3-youtube-search-pyc-1.6.6-r4.apk | 96.2 KiB | 2024-Oct-25 19:02 |
py3-youtube-search-1.6.6-r4.apk | 79.1 KiB | 2024-Oct-25 19:02 |
py3-yosys-0.42-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
py3-yara-4.5.1-r0.apk | 17.2 KiB | 2024-Oct-25 19:02 |
py3-yapsy-pyc-1.12.2-r7.apk | 47.1 KiB | 2024-Oct-25 19:02 |
py3-yapsy-1.12.2-r7.apk | 32.2 KiB | 2024-Oct-25 19:02 |
py3-xsdata-pyc-24.11-r0.apk | 389.5 KiB | 2024-Nov-03 21:04 |
py3-xsdata-24.11-r0.apk | 179.6 KiB | 2024-Nov-03 21:04 |
py3-xlwt-pyc-1.3.0-r9.apk | 165.5 KiB | 2024-Oct-25 19:02 |
py3-xlwt-1.3.0-r9.apk | 94.6 KiB | 2024-Oct-25 19:02 |
py3-xdoctest-1.2.0-r0.apk | 312.5 KiB | 2024-Nov-21 13:32 |
py3-xapp-2.4.2-r0.apk | 33.6 KiB | 2024-Nov-12 11:18 |
py3-x-wr-timezone-pyc-2.0.0-r0.apk | 6.9 KiB | 2024-Dec-06 23:00 |
py3-x-wr-timezone-2.0.0-r0.apk | 11.5 KiB | 2024-Dec-06 23:00 |
py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.7 KiB | 2024-Oct-25 19:02 |
py3-wtf-peewee-3.0.6-r0.apk | 12.7 KiB | 2024-Oct-25 19:02 |
py3-wstools-pyc-0.4.10-r7.apk | 110.8 KiB | 2024-Oct-25 19:02 |
py3-wstools-0.4.10-r7.apk | 53.2 KiB | 2024-Oct-25 19:02 |
py3-wsgiprox-pyc-1.5.2-r1.apk | 28.2 KiB | 2024-Oct-25 19:02 |
py3-wsgiprox-1.5.2-r1.apk | 16.7 KiB | 2024-Oct-25 19:02 |
py3-winacl-pyc-0.1.9-r0.apk | 132.0 KiB | 2024-Oct-25 19:02 |
py3-winacl-0.1.9-r0.apk | 83.8 KiB | 2024-Oct-25 19:02 |
py3-wifi-pyc-0.3.8-r7.apk | 13.7 KiB | 2024-Oct-25 19:02 |
py3-wifi-0.3.8-r7.apk | 13.0 KiB | 2024-Oct-25 19:02 |
py3-wgconfig-pyc-1.0.3-r0.apk | 11.6 KiB | 2024-Oct-25 19:02 |
py3-wgconfig-1.0.3-r0.apk | 22.8 KiB | 2024-Oct-25 19:02 |
py3-wg-netns-pyc-2.3.1-r1.apk | 13.4 KiB | 2024-Oct-25 19:02 |
py3-wg-netns-2.3.1-r1.apk | 7.6 KiB | 2024-Oct-25 19:02 |
py3-webrtcvad-pyc-2.0.10-r1.apk | 2.8 KiB | 2024-Oct-25 19:02 |
py3-webrtcvad-2.0.10-r1.apk | 23.5 KiB | 2024-Oct-25 19:02 |
py3-wbdata-pyc-1.0.0-r1.apk | 19.6 KiB | 2024-Oct-25 19:02 |
py3-wbdata-1.0.0-r1.apk | 18.5 KiB | 2024-Oct-25 19:02 |
py3-ward-pyc-0.67.0_beta0-r2.apk | 79.6 KiB | 2024-Oct-25 19:02 |
py3-ward-0.67.0_beta0-r2.apk | 40.7 KiB | 2024-Oct-25 19:02 |
py3-visitor-pyc-0.1.3-r7.apk | 2.6 KiB | 2024-Oct-25 19:02 |
py3-visitor-0.1.3-r7.apk | 4.6 KiB | 2024-Oct-25 19:02 |
py3-virtualenvwrapper-pyc-6.1.0-r1.apk | 11.7 KiB | 2024-Oct-25 19:02 |
py3-virtualenvwrapper-6.1.0-r1.apk | 21.9 KiB | 2024-Oct-25 19:02 |
py3-venusian-pyc-3.1.1-r0.apk | 12.5 KiB | 2024-Dec-07 21:14 |
py3-venusian-3.1.1-r0.apk | 14.4 KiB | 2024-Dec-07 21:14 |
py3-vdf-pyc-3.4-r1.apk | 16.6 KiB | 2024-Oct-25 19:02 |
py3-vdf-3.4-r1.apk | 11.3 KiB | 2024-Oct-25 19:02 |
py3-vatnumber-pyc-1.2-r9.apk | 8.6 KiB | 2024-Oct-25 19:02 |
py3-vatnumber-1.2-r9.apk | 18.6 KiB | 2024-Oct-25 19:02 |
py3-utc-pyc-0.0.3-r9.apk | 2.8 KiB | 2024-Oct-25 19:02 |
py3-utc-0.0.3-r9.apk | 3.5 KiB | 2024-Oct-25 19:02 |
py3-us-pyc-3.2.0-r0.apk | 15.2 KiB | 2024-Oct-25 19:02 |
py3-us-3.2.0-r0.apk | 14.3 KiB | 2024-Oct-25 19:02 |
py3-urlobject-pyc-2.4.3-r9.apk | 24.7 KiB | 2024-Oct-25 19:02 |
py3-urlobject-2.4.3-r9.apk | 14.8 KiB | 2024-Oct-25 19:02 |
py3-uptime-pyc-3.0.1-r9.apk | 8.7 KiB | 2024-Oct-25 19:02 |
py3-uptime-3.0.1-r9.apk | 9.6 KiB | 2024-Oct-25 19:02 |
py3-unoconv-0.9.0-r2.apk | 26.0 KiB | 2024-Oct-25 19:02 |
py3-unidns-pyc-0.0.1-r2.apk | 21.6 KiB | 2024-Oct-25 19:02 |
py3-unidns-examples-0.0.1-r2.apk | 2.7 KiB | 2024-Oct-25 19:02 |
py3-unidns-0.0.1-r2.apk | 13.6 KiB | 2024-Oct-25 19:02 |
py3-unicrypto-pyc-0.0.10-r2.apk | 94.1 KiB | 2024-Oct-25 19:02 |
py3-unicrypto-0.0.10-r2.apk | 60.9 KiB | 2024-Oct-25 19:02 |
py3-unicorn-pyc-2.0.1-r4.apk | 56.8 KiB | 2024-Oct-25 19:02 |
py3-unicorn-2.0.1-r4.apk | 33.7 KiB | 2024-Oct-25 19:02 |
py3-unearth-pyc-0.17.2-r0.apk | 82.1 KiB | 2024-Oct-25 19:02 |
py3-unearth-0.17.2-r0.apk | 41.3 KiB | 2024-Oct-25 19:02 |
py3-uc-micro-py-1.0.2-r1.apk | 9.1 KiB | 2024-Oct-25 19:02 |
py3-uacme-desec-pyc-1.2.1-r0.apk | 6.8 KiB | 2024-Oct-25 19:02 |
py3-uacme-desec-doc-1.2.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
py3-uacme-desec-1.2.1-r0.apk | 5.7 KiB | 2024-Oct-25 19:02 |
py3-u-msgpack-pyc-2.8.0-r2.apk | 16.2 KiB | 2024-Oct-25 19:02 |
py3-u-msgpack-2.8.0-r2.apk | 10.9 KiB | 2024-Oct-25 19:02 |
py3-typing_inspect-pyc-0.9.0-r2.apk | 14.2 KiB | 2024-Oct-25 19:02 |
py3-typing_inspect-0.9.0-r2.apk | 9.9 KiB | 2024-Oct-25 19:02 |
py3-twiggy-pyc-0.5.1-r4.apk | 38.7 KiB | 2024-Oct-25 19:02 |
py3-twiggy-0.5.1-r4.apk | 24.1 KiB | 2024-Oct-25 19:02 |
py3-truststore-pyc-0.10.0-r0.apk | 25.2 KiB | 2024-Oct-25 19:02 |
py3-truststore-0.10.0-r0.apk | 17.3 KiB | 2024-Oct-25 19:02 |
py3-trivup-pyc-0.12.2-r2.apk | 54.9 KiB | 2024-Oct-25 19:02 |
py3-trivup-0.12.2-r2.apk | 34.0 KiB | 2024-Oct-25 19:02 |
py3-trimesh-pyc-3.22.1-r2.apk | 731.3 KiB | 2024-Oct-25 19:02 |
py3-trimesh-3.22.1-r2.apk | 629.5 KiB | 2024-Oct-25 19:02 |
py3-translationstring-pyc-1.4-r4.apk | 8.8 KiB | 2024-Oct-25 19:02 |
py3-translationstring-1.4-r4.apk | 9.2 KiB | 2024-Oct-25 19:02 |
py3-transitions-pyc-0.9.2-r0.apk | 128.8 KiB | 2024-Oct-25 19:02 |
py3-transitions-0.9.2-r0.apk | 97.7 KiB | 2024-Oct-25 19:02 |
py3-tpm2-pytss-pyc-2.3.0-r1.apk | 236.1 KiB | 2024-Oct-25 19:02 |
py3-tpm2-pytss-2.3.0-r1.apk | 291.7 KiB | 2024-Oct-25 19:02 |
py3-tokenizers-pyc-0.21.0-r0.apk | 28.8 KiB | 2024-Nov-27 19:54 |
py3-tokenizers-0.21.0-r0.apk | 1.6 MiB | 2024-Nov-27 19:54 |
py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.8 KiB | 2024-Oct-25 19:02 |
py3-tlslite-ng-0.7.6-r8.apk | 178.5 KiB | 2024-Oct-25 19:02 |
py3-tls_parser-pyc-2.0.1-r1.apk | 17.4 KiB | 2024-Oct-25 19:02 |
py3-tls_parser-2.0.1-r1.apk | 9.2 KiB | 2024-Oct-25 19:02 |
py3-timeago-pyc-1.0.16-r0.apk | 27.7 KiB | 2024-Oct-25 19:02 |
py3-timeago-doc-1.0.16-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
py3-timeago-1.0.16-r0.apk | 23.6 KiB | 2024-Oct-25 19:02 |
py3-tidalapi-pyc-0.7.4-r1.apk | 62.2 KiB | 2024-Oct-25 19:02 |
py3-tidalapi-0.7.4-r1.apk | 35.6 KiB | 2024-Oct-25 19:02 |
py3-ticket-auth-pyc-0.1.4-r9.apk | 6.4 KiB | 2024-Oct-25 19:02 |
py3-ticket-auth-0.1.4-r9.apk | 6.0 KiB | 2024-Oct-25 19:02 |
py3-thefuzz-pyc-0.22.1-r1.apk | 9.0 KiB | 2024-Oct-25 19:02 |
py3-thefuzz-0.22.1-r1.apk | 10.0 KiB | 2024-Oct-25 19:02 |
py3-tg-pyc-0.19.0-r5.apk | 80.6 KiB | 2024-Oct-25 19:02 |
py3-tg-0.19.0-r5.apk | 73.4 KiB | 2024-Oct-25 19:02 |
py3-textual-pyc-0.87.1-r0.apk | 1.1 MiB | 2024-Dec-07 20:27 |
py3-textual-0.87.1-r0.apk | 567.4 KiB | 2024-Dec-07 20:27 |
py3-testresources-pyc-2.0.1-r6.apk | 16.0 KiB | 2024-Oct-25 19:02 |
py3-testresources-2.0.1-r6.apk | 17.3 KiB | 2024-Oct-25 19:02 |
py3-teletype-pyc-1.3.4-r3.apk | 20.5 KiB | 2024-Oct-25 19:02 |
py3-teletype-1.3.4-r3.apk | 15.4 KiB | 2024-Oct-25 19:02 |
py3-telemetrix-pyc-1.20-r3.apk | 31.0 KiB | 2024-Oct-25 19:02 |
py3-telemetrix-1.20-r3.apk | 20.9 KiB | 2024-Oct-25 19:02 |
py3-telegram-text-pyc-0.2.0-r1.apk | 13.0 KiB | 2024-Oct-25 19:02 |
py3-telegram-text-0.2.0-r1.apk | 9.2 KiB | 2024-Oct-25 19:02 |
py3-telegram-pyc-0.18.0-r3.apk | 20.9 KiB | 2024-Oct-25 19:02 |
py3-telegram-bot-pyc-21.7-r0.apk | 710.7 KiB | 2024-Dec-01 17:08 |
py3-telegram-bot-21.7-r0.apk | 446.2 KiB | 2024-Dec-01 17:08 |
py3-telegram-0.18.0-r3.apk | 13.6 KiB | 2024-Oct-25 19:02 |
py3-tasklib-pyc-2.5.1-r2.apk | 51.5 KiB | 2024-Oct-25 19:02 |
py3-tasklib-2.5.1-r2.apk | 23.1 KiB | 2024-Oct-25 19:02 |
py3-tailer-pyc-0.4.1-r7.apk | 6.6 KiB | 2024-Oct-25 19:02 |
py3-tailer-0.4.1-r7.apk | 6.9 KiB | 2024-Oct-25 19:02 |
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk | 5.6 KiB | 2024-Oct-25 19:02 |
py3-synapse-auto-accept-invite-1.2.0-r0.apk | 9.8 KiB | 2024-Oct-25 19:02 |
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2.1 KiB | 2024-Oct-25 19:02 |
py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-Oct-25 19:02 |
py3-svgpath-pyc-6.3-r3.apk | 21.6 KiB | 2024-Oct-25 19:02 |
py3-svgpath-6.3-r3.apk | 17.1 KiB | 2024-Oct-25 19:02 |
py3-stringcase-pyc-1.2.0-r8.apk | 3.9 KiB | 2024-Oct-25 19:02 |
py3-stringcase-1.2.0-r8.apk | 4.8 KiB | 2024-Oct-25 19:02 |
py3-sstash-pyc-0.17-r9.apk | 10.3 KiB | 2024-Oct-25 19:02 |
py3-sstash-0.17-r9.apk | 7.7 KiB | 2024-Oct-25 19:02 |
py3-sssd-pyc-2.9.3-r2.apk | 48.2 KiB | 2024-Oct-25 19:02 |
py3-sssd-2.9.3-r2.apk | 59.9 KiB | 2024-Oct-25 19:02 |
py3-sqlmodel-pyc-0.0.22-r1.apk | 41.2 KiB | 2024-Dec-06 23:03 |
py3-sqlmodel-0.0.22-r1.apk | 26.3 KiB | 2024-Dec-06 23:03 |
py3-spotipy-pyc-2.24.0-r1.apk | 49.6 KiB | 2024-Oct-25 19:02 |
py3-spotipy-2.24.0-r1.apk | 30.0 KiB | 2024-Oct-25 19:02 |
py3-spnego-pyc-0.10.2-r1.apk | 219.1 KiB | 2024-Oct-25 19:02 |
py3-spnego-0.10.2-r1.apk | 118.9 KiB | 2024-Oct-25 19:02 |
py3-spinners-pyc-0.0.24-r5.apk | 6.2 KiB | 2024-Oct-25 19:02 |
py3-spinners-0.0.24-r5.apk | 6.1 KiB | 2024-Oct-25 19:02 |
py3-spin-pyc-0.8-r0.apk | 24.5 KiB | 2024-Oct-25 19:02 |
py3-spin-0.8-r0.apk | 18.5 KiB | 2024-Oct-25 19:02 |
py3-spidev-3.6-r1.apk | 13.1 KiB | 2024-Oct-25 19:02 |
py3-sphobjinv-pyc-2.3.1.1-r0.apk | 52.1 KiB | 2024-Oct-25 19:02 |
py3-sphobjinv-2.3.1.1-r0.apk | 40.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.9 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.3 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk | 18.9 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-spelling-8.0.0-r3.apk | 15.3 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk | 5.5 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-slide-1.0.0-r3.apk | 5.0 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.3 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.6 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.5 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.3 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.8 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.5 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk | 17.0 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk | 11.5 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11.2 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.7 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-manpage-0.6-r8.apk | 4.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.6 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.9 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.9 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.5 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> | 43.8 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> | 21.4 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.9 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.3 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15.0 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.6 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.6 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.9 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.6 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-git-11.0.0-r7.apk | 17.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.3 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.9 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.5 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk | 16.4 KiB | 2024-Dec-06 23:01 |
py3-sphinxcontrib-doxylink-1.12.3-r0.apk | 12.2 KiB | 2024-Dec-06 23:01 |
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.5 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.9 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.3 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.7 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9.2 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.5 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4.1 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.7 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12.0 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-adadomain-0.2-r9.apk | 9.0 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.3 KiB | 2024-Oct-25 19:02 |
py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.6 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.2 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-readable-1.3.0-r9.apk | 8.8 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.5 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.5 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.9 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.8 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.3 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.8 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-bw-0.1.8-r7.apk | 64.8 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.3 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2.0 KiB | 2024-Oct-25 19:02 |
py3-sphinx-theme-better-0.1.5-r7.apk | 10.5 KiB | 2024-Oct-25 19:02 |
py3-sphinx-autodoc-typehints-pyc-2.4.3-r0.apk | 28.5 KiB | 2024-Oct-25 19:02 |
py3-sphinx-autodoc-typehints-2.4.3-r0.apk | 19.7 KiB | 2024-Oct-25 19:02 |
py3-sphinx-autoapi-pyc-3.4.0-r0.apk | 58.3 KiB | 2024-Dec-04 21:55 |
py3-sphinx-autoapi-3.4.0-r0.apk | 31.0 KiB | 2024-Dec-04 21:55 |
py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22.1 KiB | 2024-Oct-25 19:02 |
py3-sphinx-argparse-0.5.2-r0.apk | 14.2 KiB | 2024-Oct-25 19:02 |
py3-spake2-pyc-0.9-r0.apk | 43.5 KiB | 2024-Oct-25 19:02 |
py3-spake2-0.9-r0.apk | 30.1 KiB | 2024-Oct-25 19:02 |
py3-sortedcollections-pyc-2.1.0-r5.apk | 13.9 KiB | 2024-Oct-25 19:02 |
py3-sortedcollections-2.1.0-r5.apk | 10.7 KiB | 2024-Oct-25 19:02 |
py3-solidpython-pyc-1.1.2-r2.apk | 120.0 KiB | 2024-Oct-25 19:02 |
py3-solidpython-1.1.2-r2.apk | 78.7 KiB | 2024-Oct-25 19:02 |
py3-soapy_power-pyc-1.6.1-r5.apk | 26.5 KiB | 2024-Oct-25 19:02 |
py3-soapy_power-1.6.1-r5.apk | 17.5 KiB | 2024-Oct-25 19:02 |
py3-soappy-pyc-0.52.30-r0.apk | 95.3 KiB | 2024-Dec-02 23:37 |
py3-soappy-0.52.30-r0.apk | 47.3 KiB | 2024-Dec-02 23:37 |
py3-snapshottest-pyc-0.6.0-r5.apk | 25.7 KiB | 2024-Oct-25 19:02 |
py3-snapshottest-0.6.0-r5.apk | 15.1 KiB | 2024-Oct-25 19:02 |
py3-slixmpp-pyc-1.8.5-r2.apk | 729.5 KiB | 2024-Oct-25 19:02 |
py3-slixmpp-doc-1.8.5-r2.apk | 5.8 KiB | 2024-Oct-25 19:02 |
py3-slixmpp-1.8.5-r2.apk | 382.2 KiB | 2024-Oct-25 19:02 |
py3-slidge-style-parser-pyc-0.1.8-r0.apk | 1.9 KiB | 2024-Oct-25 19:02 |
py3-slidge-style-parser-0.1.8-r0.apk | 197.7 KiB | 2024-Oct-25 19:02 |
py3-simplespectral-pyc-1.0.0-r5.apk | 8.1 KiB | 2024-Oct-25 19:02 |
py3-simplespectral-1.0.0-r5.apk | 7.5 KiB | 2024-Oct-25 19:02 |
py3-simplesoapy-pyc-1.5.1-r7.apk | 11.8 KiB | 2024-Oct-25 19:02 |
py3-simplesoapy-1.5.1-r7.apk | 7.9 KiB | 2024-Oct-25 19:02 |
py3-simplesat-pyc-0.8.2-r0.apk | 156.6 KiB | 2024-Oct-25 19:02 |
py3-simplesat-0.8.2-r0.apk | 214.0 KiB | 2024-Oct-25 19:02 |
py3-simplematch-pyc-1.4-r1.apk | 5.8 KiB | 2024-Oct-25 19:02 |
py3-simplematch-1.4-r1.apk | 8.0 KiB | 2024-Oct-25 19:02 |
py3-simber-pyc-0.2.6-r4.apk | 16.4 KiB | 2024-Oct-25 19:02 |
py3-simber-0.2.6-r4.apk | 12.1 KiB | 2024-Oct-25 19:02 |
py3-shodan-pyc-1.31.0-r1.apk | 79.1 KiB | 2024-Oct-25 19:02 |
py3-shodan-doc-1.31.0-r1.apk | 7.2 KiB | 2024-Oct-25 19:02 |
py3-shodan-1.31.0-r1.apk | 44.1 KiB | 2024-Oct-25 19:02 |
py3-sh-pyc-2.1.0-r0.apk | 54.9 KiB | 2024-Nov-01 15:13 |
py3-sh-2.1.0-r0.apk | 37.8 KiB | 2024-Nov-01 15:13 |
py3-setuptools-lint-pyc-0.6.0-r9.apk | 6.0 KiB | 2024-Oct-25 19:02 |
py3-setuptools-lint-0.6.0-r9.apk | 5.4 KiB | 2024-Oct-25 19:02 |
py3-seqdiag-pyc-3.0.0-r5.apk | 42.2 KiB | 2024-Oct-25 19:02 |
py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-Oct-25 19:02 |
py3-scs-pyc-3.2.3-r4.apk | 4.9 KiB | 2024-Oct-25 19:02 |
py3-scs-3.2.3-r4.apk | 105.0 KiB | 2024-Oct-25 19:02 |
py3-scrapy-pyc-2.11.1-r1.apk | 482.0 KiB | 2024-Oct-25 19:02 |
py3-scrapy-2.11.1-r1.apk | 240.0 KiB | 2024-Oct-25 19:02 |
py3-scour-pyc-0.38.2-r1.apk | 73.8 KiB | 2024-Oct-25 19:02 |
py3-scour-0.38.2-r1.apk | 56.4 KiB | 2024-Oct-25 19:02 |
py3-schema-pyc-0.7.5-r4.apk | 18.3 KiB | 2024-Oct-25 19:02 |
py3-schema-0.7.5-r4.apk | 18.5 KiB | 2024-Oct-25 19:02 |
py3-rtree-pyc-1.1.0-r2.apk | 43.9 KiB | 2024-Oct-25 19:02 |
py3-rtree-1.1.0-r2.apk | 25.2 KiB | 2024-Oct-25 19:02 |
py3-rst2ansi-pyc-0.1.5-r0.apk | 24.0 KiB | 2024-Oct-25 19:02 |
py3-rst2ansi-doc-0.1.5-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
py3-rst2ansi-0.1.5-r0.apk | 12.2 KiB | 2024-Oct-25 19:02 |
py3-rst.linker-pyc-2.6.0-r0.apk | 6.6 KiB | 2024-Oct-25 19:02 |
py3-rst.linker-2.6.0-r0.apk | 6.1 KiB | 2024-Oct-25 19:02 |
py3-rst-pyc-0.1-r9.apk | 6.1 KiB | 2024-Oct-25 19:02 |
py3-rst-0.1-r9.apk | 5.6 KiB | 2024-Oct-25 19:02 |
py3-rpio-pyc-0.10.1-r8.apk | 16.0 KiB | 2024-Oct-25 19:02 |
py3-rpio-0.10.1-r8.apk | 35.2 KiB | 2024-Oct-25 19:02 |
py3-rospkg-pyc-1.2.9-r5.apk | 54.1 KiB | 2024-Oct-25 19:02 |
py3-rospkg-1.2.9-r5.apk | 28.8 KiB | 2024-Oct-25 19:02 |
py3-rosdistro-pyc-0.9.0-r3.apk | 91.3 KiB | 2024-Oct-25 19:02 |
py3-rosdistro-0.9.0-r3.apk | 47.1 KiB | 2024-Oct-25 19:02 |
py3-riotctrl-pyc-0.5.0-r4.apk | 10.7 KiB | 2024-Oct-25 19:02 |
py3-riotctrl-0.5.0-r4.apk | 13.0 KiB | 2024-Oct-25 19:02 |
py3-rich-click-pyc-1.7.3-r1.apk | 40.3 KiB | 2024-Oct-25 19:02 |
py3-rich-click-1.7.3-r1.apk | 30.7 KiB | 2024-Oct-25 19:02 |
py3-rfc3987-pyc-1.3.8-r6.apk | 10.6 KiB | 2024-Oct-25 19:02 |
py3-rfc3987-1.3.8-r6.apk | 20.9 KiB | 2024-Oct-25 19:02 |
py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.4 KiB | 2024-Oct-25 19:02 |
py3-rfc-bibtex-0.3.2-r7.apk | 12.9 KiB | 2024-Oct-25 19:02 |
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.6 KiB | 2024-Oct-25 19:02 |
py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.5 KiB | 2024-Oct-25 19:02 |
py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.9 KiB | 2024-Dec-01 17:24 |
py3-requests-kerberos-0.15.0-r0.apk | 12.1 KiB | 2024-Dec-01 17:24 |
py3-requests-cache-pyc-1.2.1-r1.apk | 94.2 KiB | 2024-Nov-18 08:46 |
py3-requests-cache-1.2.1-r1.apk | 49.9 KiB | 2024-Nov-18 08:46 |
py3-remind-pyc-0.19.1-r0.apk | 22.5 KiB | 2024-Oct-25 19:02 |
py3-remind-0.19.1-r0.apk | 24.6 KiB | 2024-Oct-25 19:02 |
py3-redmine-pyc-2.5.0-r0.apk | 53.6 KiB | 2024-Oct-25 19:02 |
py3-redmine-2.5.0-r0.apk | 36.8 KiB | 2024-Oct-25 19:02 |
py3-recurring-ical-events-pyc-3.3.4-r0.apk | 27.7 KiB | 2024-Dec-05 12:45 |
py3-recurring-ical-events-3.3.4-r0.apk | 28.4 KiB | 2024-Dec-05 12:45 |
py3-recommonmark-pyc-0.7.1-r4.apk | 17.5 KiB | 2024-Oct-25 19:02 |
py3-recommonmark-0.7.1-r4.apk | 11.7 KiB | 2024-Oct-25 19:02 |
py3-radon-pyc-6.0.1-r2.apk | 49.6 KiB | 2024-Oct-25 19:02 |
py3-radon-doc-6.0.1-r2.apk | 5.1 KiB | 2024-Oct-25 19:02 |
py3-radon-6.0.1-r2.apk | 31.5 KiB | 2024-Oct-25 19:02 |
py3-rabbit-pyc-1.1.0-r8.apk | 15.5 KiB | 2024-Oct-25 19:02 |
py3-rabbit-1.1.0-r8.apk | 10.9 KiB | 2024-Oct-25 19:02 |
py3-queuelib-pyc-1.7.0-r0.apk | 24.8 KiB | 2024-Oct-25 19:02 |
py3-queuelib-1.7.0-r0.apk | 12.8 KiB | 2024-Oct-25 19:02 |
py3-quebra-frases-pyc-0.3.7-r1.apk | 7.8 KiB | 2024-Oct-25 19:02 |
py3-quebra-frases-0.3.7-r1.apk | 8.8 KiB | 2024-Oct-25 19:02 |
py3-qt.py-pyc-1.3.10-r1.apk | 24.7 KiB | 2024-Oct-25 19:02 |
py3-qt.py-1.3.10-r1.apk | 32.7 KiB | 2024-Oct-25 19:02 |
py3-qpageview-pyc-0.6.2-r1.apk | 180.2 KiB | 2024-Oct-25 19:02 |
py3-qpageview-doc-0.6.2-r1.apk | 56.3 KiB | 2024-Oct-25 19:02 |
py3-qpageview-0.6.2-r1.apk | 98.0 KiB | 2024-Oct-25 19:02 |
py3-qgis-3.34.13-r0.apk | 20.3 MiB | 2024-Nov-30 21:06 |
py3-qdldl-0.1.5-r4.apk | 93.8 KiB | 2024-Oct-25 19:02 |
py3-qbittorrent-api-pyc-2024.11.70-r0.apk | 94.3 KiB | 2024-Nov-25 14:28 |
py3-qbittorrent-api-doc-2024.11.70-r0.apk | 30.4 KiB | 2024-Nov-25 14:28 |
py3-qbittorrent-api-2024.11.70-r0.apk | 56.7 KiB | 2024-Nov-25 14:28 |
py3-qasync-0.19.0-r2.apk | 36.9 KiB | 2024-Oct-25 19:02 |
py3-pyzor-pyc-1.0.0-r11.apk | 53.7 KiB | 2024-Oct-25 19:02 |
py3-pyzor-1.0.0-r11.apk | 40.2 KiB | 2024-Oct-25 19:02 |
py3-pyvcd-pyc-0.4.1-r0.apk | 40.5 KiB | 2024-Nov-11 21:46 |
py3-pyvcd-0.4.1-r0.apk | 22.7 KiB | 2024-Nov-11 21:46 |
py3-python-stdnum-pyc-1.20-r0.apk | 293.5 KiB | 2024-Oct-25 19:02 |
py3-python-stdnum-1.20-r0.apk | 805.7 KiB | 2024-Oct-25 19:02 |
py3-python-logstash-pyc-0.4.8-r4.apk | 8.4 KiB | 2024-Oct-25 19:02 |
py3-python-logstash-doc-0.4.8-r4.apk | 2.3 KiB | 2024-Oct-25 19:02 |
py3-python-logstash-0.4.8-r4.apk | 8.6 KiB | 2024-Oct-25 19:02 |
py3-python-iptables-pyc-1.0.1-r1.apk | 67.9 KiB | 2024-Oct-25 19:02 |
py3-python-iptables-1.0.1-r1.apk | 38.5 KiB | 2024-Oct-25 19:02 |
py3-python-archive-pyc-0.2-r7.apk | 9.3 KiB | 2024-Oct-25 19:02 |
py3-python-archive-0.2-r7.apk | 7.4 KiB | 2024-Oct-25 19:02 |
py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.5 KiB | 2024-Oct-25 19:02 |
py3-pytest-subprocess-1.5.2-r0.apk | 19.5 KiB | 2024-Oct-25 19:02 |
py3-pytest-regtest-pyc-2.3.2-r0.apk | 30.1 KiB | 2024-Nov-13 23:12 |
py3-pytest-regtest-2.3.2-r0.apk | 15.8 KiB | 2024-Nov-13 23:12 |
py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.9 KiB | 2024-Oct-25 19:02 |
py3-pytest-metadata-3.1.1-r0.apk | 10.2 KiB | 2024-Oct-25 19:02 |
py3-pytest-html-pyc-4.1.1-r1.apk | 21.8 KiB | 2024-Oct-25 19:02 |
py3-pytest-html-4.1.1-r1.apk | 21.6 KiB | 2024-Oct-25 19:02 |
py3-pytest-home-pyc-0.6.0-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
py3-pytest-home-0.6.0-r0.apk | 4.5 KiB | 2024-Oct-25 19:02 |
py3-pytest-expect-pyc-1.1.0-r10.apk | 7.0 KiB | 2024-Oct-25 19:02 |
py3-pytest-expect-1.1.0-r10.apk | 5.9 KiB | 2024-Oct-25 19:02 |
py3-pyte-pyc-0.8.2-r2.apk | 39.5 KiB | 2024-Oct-25 19:02 |
py3-pyte-0.8.2-r2.apk | 30.3 KiB | 2024-Oct-25 19:02 |
py3-pytap2-pyc-2.3.0-r0.apk | 6.0 KiB | 2024-Oct-25 19:02 |
py3-pytap2-doc-2.3.0-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
py3-pytap2-2.3.0-r0.apk | 7.0 KiB | 2024-Oct-25 19:02 |
py3-pytaglib-pyc-1.5.0-r3.apk | 3.0 KiB | 2024-Oct-25 19:02 |
py3-pytaglib-1.5.0-r3.apk | 34.4 KiB | 2024-Oct-25 19:02 |
py3-pysubs2-pyc-1.7.3-r0.apk | 57.5 KiB | 2024-Oct-25 19:02 |
py3-pysubs2-1.7.3-r0.apk | 32.6 KiB | 2024-Oct-25 19:02 |
py3-pystache-pyc-0.6.5-r1.apk | 96.7 KiB | 2024-Oct-25 19:02 |
py3-pystache-0.6.5-r1.apk | 68.1 KiB | 2024-Oct-25 19:02 |
py3-pysrt-pyc-1.1.2-r4.apk | 23.0 KiB | 2024-Oct-25 19:02 |
py3-pysrt-1.1.2-r4.apk | 25.8 KiB | 2024-Oct-25 19:02 |
py3-pyspinel-pyc-1.0.3-r1.apk | 63.0 KiB | 2024-Oct-25 19:02 |
py3-pyspinel-1.0.3-r1.apk | 56.0 KiB | 2024-Oct-25 19:02 |
py3-pysonic-pyc-1.0.1-r1.apk | 31.9 KiB | 2024-Oct-25 19:02 |
py3-pysonic-1.0.1-r1.apk | 34.7 KiB | 2024-Oct-25 19:02 |
py3-pysimplesoap-pyc-1.16.2-r7.apk | 78.2 KiB | 2024-Oct-25 19:02 |
py3-pysimplesoap-1.16.2-r7.apk | 43.7 KiB | 2024-Oct-25 19:02 |
py3-pysequoia-pyc-0.1.20-r3.apk | 1.9 KiB | 2024-Oct-25 19:02 |
py3-pysequoia-0.1.20-r3.apk | 1.5 MiB | 2024-Oct-25 19:02 |
py3-pyscreeze-pyc-0.1.29-r3.apk | 14.2 KiB | 2024-Oct-25 19:02 |
py3-pyscreeze-0.1.29-r3.apk | 14.1 KiB | 2024-Oct-25 19:02 |
py3-pyroma-pyc-4.2-r0.apk | 26.0 KiB | 2024-Oct-25 19:02 |
py3-pyroma-4.2-r0.apk | 21.9 KiB | 2024-Oct-25 19:02 |
py3-pyrebase-pyc-3.0.27-r5.apk | 17.6 KiB | 2024-Oct-25 19:02 |
py3-pyrebase-3.0.27-r5.apk | 9.6 KiB | 2024-Oct-25 19:02 |
py3-pyqrcode-pyc-1.2.1-r0.apk | 47.3 KiB | 2024-Oct-25 19:02 |
py3-pyqrcode-doc-1.2.1-r0.apk | 4.3 KiB | 2024-Oct-25 19:02 |
py3-pyqrcode-1.2.1-r0.apk | 36.6 KiB | 2024-Oct-25 19:02 |
py3-pypubsub-pyc-4.0.3-r0.apk | 89.9 KiB | 2024-Oct-25 19:02 |
py3-pypubsub-doc-4.0.3-r0.apk | 2.1 KiB | 2024-Oct-25 19:02 |
py3-pypubsub-4.0.3-r0.apk | 52.4 KiB | 2024-Oct-25 19:02 |
py3-pyparted-pyc-3.13.0-r1.apk | 42.2 KiB | 2024-Oct-25 19:02 |
py3-pyparted-3.13.0-r1.apk | 76.4 KiB | 2024-Oct-25 19:02 |
py3-pypandoc-pyc-1.14-r0.apk | 22.9 KiB | 2024-Dec-04 21:55 |
py3-pypandoc-1.14-r0.apk | 20.7 KiB | 2024-Dec-04 21:55 |
py3-pynest2d-5.2.2-r4.apk | 237.9 KiB | 2024-Oct-25 19:02 |
py3-pymsteams-pyc-0.2.3-r1.apk | 6.6 KiB | 2024-Oct-25 19:02 |
py3-pymsteams-0.2.3-r1.apk | 11.8 KiB | 2024-Oct-25 19:02 |
py3-pymsgbox-pyc-1.0.9-r5.apk | 9.8 KiB | 2024-Oct-25 19:02 |
py3-pymsgbox-1.0.9-r5.apk | 9.2 KiB | 2024-Oct-25 19:02 |
py3-pymeta3-pyc-0.5.1-r6.apk | 31.5 KiB | 2024-Oct-25 19:02 |
py3-pymeta3-0.5.1-r6.apk | 16.7 KiB | 2024-Oct-25 19:02 |
py3-pymata4-pyc-1.15-r4.apk | 30.8 KiB | 2024-Oct-25 19:02 |
py3-pymata4-1.15-r4.apk | 23.0 KiB | 2024-Oct-25 19:02 |
py3-pymata-pyc-2.20-r4.apk | 29.4 KiB | 2024-Oct-25 19:02 |
py3-pymata-2.20-r4.apk | 22.5 KiB | 2024-Oct-25 19:02 |
py3-pymaging-pyc-0.0.20130908-r10.apk | 31.7 KiB | 2024-Oct-25 19:02 |
py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.9 KiB | 2024-Oct-25 19:02 |
py3-pymaging-png-0.0.20130727-r10.apk | 35.0 KiB | 2024-Oct-25 19:02 |
py3-pymaging-0.0.20130908-r10.apk | 18.0 KiB | 2024-Oct-25 19:02 |
py3-pylru-pyc-1.2.1-r1.apk | 8.9 KiB | 2024-Oct-25 19:02 |
py3-pylru-1.2.1-r1.apk | 16.6 KiB | 2024-Oct-25 19:02 |
py3-pyisbn-pyc-1.3.1-r3.apk | 9.4 KiB | 2024-Oct-25 19:02 |
py3-pyisbn-1.3.1-r3.apk | 20.4 KiB | 2024-Oct-25 19:02 |
py3-pyinstrument-pyc-5.0.0-r0.apk | 98.4 KiB | 2024-Dec-21 05:53 |
py3-pyinstrument-5.0.0-r0.apk | 106.1 KiB | 2024-Dec-21 05:53 |
py3-pyinstaller-pyc-6.6.0-r0.apk | 502.3 KiB | 2024-Oct-25 19:02 |
py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:02 |
py3-pygtail-pyc-0.14.0-r3.apk | 10.1 KiB | 2024-Oct-25 19:02 |
py3-pygtail-0.14.0-r3.apk | 15.0 KiB | 2024-Oct-25 19:02 |
py3-pygpgme-pyc-0.3.1-r9.apk | 5.1 KiB | 2024-Oct-25 19:02 |
py3-pygpgme-0.3.1-r9.apk | 36.0 KiB | 2024-Oct-25 19:02 |
py3-pyglm-2.7.3-r0.apk | 1.3 MiB | 2024-Nov-06 09:41 |
py3-pyglet-pyc-2.0.20-r0.apk | 1.6 MiB | 2024-Dec-19 22:31 |
py3-pyglet-2.0.20-r0.apk | 855.6 KiB | 2024-Dec-19 22:31 |
py3-pygfm-pyc-2.0.0-r2.apk | 13.2 KiB | 2024-Oct-25 19:02 |
py3-pygfm-2.0.0-r2.apk | 12.7 KiB | 2024-Oct-25 19:02 |
py3-pygelbooru-pyc-0.5.0-r4.apk | 11.5 KiB | 2024-Oct-25 19:02 |
py3-pygelbooru-0.5.0-r4.apk | 8.1 KiB | 2024-Oct-25 19:02 |
py3-pydes-pyc-2.0.1-r5.apk | 13.2 KiB | 2024-Oct-25 19:02 |
py3-pydes-doc-2.0.1-r5.apk | 3.6 KiB | 2024-Oct-25 19:02 |
py3-pydes-2.0.1-r5.apk | 10.7 KiB | 2024-Oct-25 19:02 |
py3-pycosat-0.6.6-r2.apk | 45.2 KiB | 2024-Oct-25 19:02 |
py3-pycolorterm-pyc-0.2.1-r6.apk | 3.7 KiB | 2024-Oct-25 19:02 |
py3-pycolorterm-0.2.1-r6.apk | 5.5 KiB | 2024-Oct-25 19:02 |
py3-pycaption-2.2.15-r0.apk | 360.1 KiB | 2024-Oct-25 19:02 |
py3-pybars3-pyc-0.9.7-r6.apk | 16.8 KiB | 2024-Oct-25 19:02 |
py3-pybars3-0.9.7-r6.apk | 14.8 KiB | 2024-Oct-25 19:02 |
py3-pyautogui-pyc-0.9.53-r5.apk | 45.3 KiB | 2024-Oct-25 19:02 |
py3-pyautogui-0.9.53-r5.apk | 35.1 KiB | 2024-Oct-25 19:02 |
py3-pyatem-pyc-0.5.0-r4.apk | 91.6 KiB | 2024-Oct-25 19:02 |
py3-pyatem-0.5.0-r4.apk | 52.3 KiB | 2024-Oct-25 19:02 |
py3-py-radix-pyc-0.10.0-r10.apk | 10.6 KiB | 2024-Oct-25 19:02 |
py3-py-radix-0.10.0-r10.apk | 19.1 KiB | 2024-Oct-25 19:02 |
py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.7 KiB | 2024-Oct-25 19:02 |
py3-pure_protobuf-3.1.2-r0.apk | 21.1 KiB | 2024-Oct-25 19:02 |
py3-proxmoxer-pyc-2.2.0-r0.apk | 27.7 KiB | 2024-Dec-16 11:36 |
py3-proxmoxer-2.2.0-r0.apk | 16.9 KiB | 2024-Dec-16 11:36 |
py3-protego-pyc-0.3.1-r0.apk | 11.6 KiB | 2024-Nov-30 20:18 |
py3-protego-0.3.1-r0.apk | 9.3 KiB | 2024-Nov-30 20:18 |
py3-proglog-pyc-0.1.10-r2.apk | 9.9 KiB | 2024-Oct-25 19:02 |
py3-proglog-0.1.10-r2.apk | 7.4 KiB | 2024-Oct-25 19:02 |
py3-print-color-pyc-0.4.6-r0.apk | 5.0 KiB | 2024-Oct-25 19:02 |
py3-print-color-doc-0.4.6-r0.apk | 2.6 KiB | 2024-Oct-25 19:02 |
py3-print-color-0.4.6-r0.apk | 8.9 KiB | 2024-Oct-25 19:02 |
py3-pprintpp-pyc-0.4.0-r1.apk | 16.3 KiB | 2024-Oct-25 19:02 |
py3-pprintpp-0.4.0-r1.apk | 13.9 KiB | 2024-Oct-25 19:02 |
py3-ppk2-api-pyc-0.9.2-r0.apk | 16.5 KiB | 2024-Nov-30 21:06 |
py3-ppk2-api-0.9.2-r0.apk | 16.5 KiB | 2024-Nov-30 21:06 |
py3-poppler-qt5-21.3.0-r1.apk | 121.0 KiB | 2024-Oct-25 19:02 |
py3-poetry-dynamic-versioning-pyc-1.4.1-r0.apk | 24.3 KiB | 2024-Oct-25 19:02 |
py3-poetry-dynamic-versioning-1.4.1-r0.apk | 19.2 KiB | 2024-Oct-25 19:02 |
py3-pockethernet-pyc-0.7.0-r4.apk | 25.2 KiB | 2024-Oct-25 19:02 |
py3-pockethernet-0.7.0-r4.apk | 14.8 KiB | 2024-Oct-25 19:02 |
py3-pltable-pyc-1.1.0-r1.apk | 33.5 KiB | 2024-Nov-13 06:43 |
py3-pltable-1.1.0-r1.apk | 18.6 KiB | 2024-Nov-13 06:43 |
py3-plexapi-pyc-4.16.0-r0.apk | 306.0 KiB | 2024-Nov-25 14:28 |
py3-plexapi-doc-4.16.0-r0.apk | 84.0 KiB | 2024-Nov-25 14:28 |
py3-plexapi-4.16.0-r0.apk | 153.0 KiB | 2024-Nov-25 14:28 |
py3-playsound-pyc-1.3.0-r1.apk | 8.5 KiB | 2024-Oct-25 19:02 |
py3-playsound-1.3.0-r1.apk | 6.9 KiB | 2024-Oct-25 19:02 |
py3-pivy-0.6.9-r2.apk | 1.9 MiB | 2024-Nov-24 10:45 |
py3-piper-tts-2023.11.14.2-r9.apk | 40.8 KiB | 2024-Dec-18 12:39 |
py3-piper-phonemize-pyc-2023.11.14.4-r5.apk | 3.3 KiB | 2024-Oct-25 19:02 |
py3-piper-phonemize-2023.11.14.4-r5.apk | 130.1 KiB | 2024-Oct-25 19:02 |
py3-pip-system-certs-pyc-4.0-r1.apk | 4.7 KiB | 2024-Oct-25 19:02 |
py3-pip-system-certs-4.0-r1.apk | 7.0 KiB | 2024-Oct-25 19:02 |
py3-pillow_heif-pyc-0.18.0-r0.apk | 35.5 KiB | 2024-Oct-25 19:02 |
py3-pillow_heif-0.18.0-r0.apk | 43.3 KiB | 2024-Oct-25 19:02 |
py3-pika-pyc-1.3.2-r1.apk | 245.9 KiB | 2024-Oct-25 19:02 |
py3-pika-1.3.2-r1.apk | 143.1 KiB | 2024-Oct-25 19:02 |
py3-pickle-secure-pyc-0.99.9-r1.apk | 5.4 KiB | 2024-Oct-25 19:02 |
py3-pickle-secure-0.99.9-r1.apk | 7.5 KiB | 2024-Oct-25 19:02 |
py3-piccata-pyc-2.0.3-r1.apk | 34.2 KiB | 2024-Oct-25 19:02 |
py3-piccata-2.0.3-r1.apk | 20.1 KiB | 2024-Oct-25 19:02 |
py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.4 KiB | 2024-Oct-25 19:02 |
py3-phx-class-registry-doc-5.0.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
py3-phx-class-registry-5.0.0-r0.apk | 13.1 KiB | 2024-Oct-25 19:02 |
py3-phpserialize-pyc-1.3-r8.apk | 10.7 KiB | 2024-Oct-25 19:02 |
py3-phpserialize-1.3-r8.apk | 8.9 KiB | 2024-Oct-25 19:02 |
py3-pep8-naming-pyc-0.14.1-r0.apk | 13.2 KiB | 2024-Oct-25 19:02 |
py3-pep8-naming-0.14.1-r0.apk | 9.8 KiB | 2024-Oct-25 19:02 |
py3-pelican-pyc-4.9.1-r2.apk | 147.3 KiB | 2024-Oct-25 19:02 |
py3-pelican-4.9.1-r2.apk | 233.7 KiB | 2024-Oct-25 19:02 |
py3-pdal-pyc-3.4.5-r0.apk | 13.0 KiB | 2024-Nov-05 21:54 |
py3-pdal-3.4.5-r0.apk | 175.4 KiB | 2024-Nov-05 21:54 |
py3-pcbnewtransition-pyc-0.4.2-r0.apk | 9.5 KiB | 2024-Nov-29 23:03 |
py3-pcbnewtransition-0.4.2-r0.apk | 7.4 KiB | 2024-Nov-29 23:03 |
py3-pbs-installer-pyc-2024.10.16-r0.apk | 53.7 KiB | 2024-Oct-25 19:02 |
py3-pbs-installer-2024.10.16-r0.apk | 47.5 KiB | 2024-Oct-25 19:02 |
py3-pbkdf2-pyc-1.3-r7.apk | 7.1 KiB | 2024-Oct-25 19:02 |
py3-pbkdf2-1.3-r7.apk | 6.3 KiB | 2024-Oct-25 19:02 |
py3-pathvalidate-pyc-3.2.1-r0.apk | 32.8 KiB | 2024-Oct-25 19:02 |
py3-pathvalidate-3.2.1-r0.apk | 19.3 KiB | 2024-Oct-25 19:02 |
py3-pam-pyc-2.0.2-r2.apk | 13.0 KiB | 2024-Oct-25 19:02 |
py3-pam-2.0.2-r2.apk | 11.2 KiB | 2024-Oct-25 19:02 |
py3-padacioso-pyc-0.2.1-r0.apk | 12.3 KiB | 2024-Oct-25 19:02 |
py3-padacioso-0.2.1-r0.apk | 11.4 KiB | 2024-Oct-25 19:02 |
py3-pacparser-pyc-1.4.5-r1.apk | 4.0 KiB | 2024-Oct-25 19:02 |
py3-pacparser-1.4.5-r1.apk | 408.3 KiB | 2024-Oct-25 19:02 |
py3-owslib-pyc-0.32.0-r0.apk | 421.1 KiB | 2024-Nov-07 22:59 |
py3-owslib-0.32.0-r0.apk | 193.2 KiB | 2024-Nov-07 22:59 |
py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk | 12.0 KiB | 2024-Nov-21 13:32 |
py3-ovos-ww-plugin-vosk-0.1.3-r0.apk | 11.2 KiB | 2024-Nov-21 13:32 |
py3-ovos-workshop-pyc-3.1.1-r0.apk | 155.7 KiB | 2024-Nov-25 12:42 |
py3-ovos-workshop-3.1.1-r0.apk | 87.1 KiB | 2024-Nov-25 12:42 |
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk | 4.4 KiB | 2024-Oct-25 19:02 |
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk | 4.5 KiB | 2024-Oct-25 19:02 |
py3-ovos-utils-pyc-0.5.4-r0.apk | 123.9 KiB | 2024-Nov-25 12:42 |
py3-ovos-utils-0.5.4-r0.apk | 68.8 KiB | 2024-Nov-25 12:42 |
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> | 5.4 KiB | 2024-Oct-25 19:02 |
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9.5 KiB | 2024-Oct-25 19:02 |
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk | 11.4 KiB | 2024-Oct-25 19:02 |
py3-ovos-tts-plugin-piper-0.0.1-r0.apk | 12.2 KiB | 2024-Oct-25 19:02 |
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.3 KiB | 2024-Oct-25 19:02 |
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6.8 KiB | 2024-Oct-25 19:02 |
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10.1 KiB | 2024-Oct-25 19:02 |
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk | 177.7 KiB | 2024-Nov-21 13:32 |
py3-ovos-plugin-manager-0.6.0-r0.apk | 91.8 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk | 9.6 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-system-1.0.1-r0.apk | 14.5 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9.7 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 11.9 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> | 11.2 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 95.1 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.4 KiB | 2024-Oct-25 19:02 |
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.5 KiB | 2024-Oct-25 19:02 |
py3-ovos-phal-plugin-connectivity-events-pyc-0...> | 5.0 KiB | 2024-Nov-21 13:32 |
py3-ovos-phal-plugin-connectivity-events-0.1.1-..> | 8.6 KiB | 2024-Nov-21 13:32 |
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk | 8.3 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk | 8.9 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-news-plugin-0.0.4-r0.apk | 11.3 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3.4 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8.1 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.6 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 47.0 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 86.0 KiB | 2024-Oct-25 19:02 |
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 550.2 KiB | 2024-Oct-25 19:02 |
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk | 4.8 KiB | 2024-Oct-25 19:02 |
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk | 9.0 KiB | 2024-Oct-25 19:02 |
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 436.7 KiB | 2024-Oct-25 19:02 |
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.8 KiB | 2024-Oct-25 19:02 |
py3-ovos-config-pyc-1.0.0-r0.apk | 33.9 KiB | 2024-Nov-25 12:42 |
py3-ovos-config-1.0.0-r0.apk | 43.2 KiB | 2024-Nov-25 12:42 |
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 163.5 KiB | 2024-Oct-25 19:02 |
py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 103.0 KiB | 2024-Oct-25 19:02 |
py3-ovos-bus-client-pyc-1.0.4-r0.apk | 81.4 KiB | 2024-Nov-21 13:32 |
py3-ovos-bus-client-1.0.4-r0.apk | 45.4 KiB | 2024-Nov-21 13:32 |
py3-ovos-backend-client-pyc-1.0.0-r0.apk | 91.1 KiB | 2024-Oct-25 19:02 |
py3-ovos-backend-client-1.0.0-r0.apk | 45.9 KiB | 2024-Oct-25 19:02 |
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8.2 KiB | 2024-Oct-25 19:02 |
py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 10.0 KiB | 2024-Oct-25 19:02 |
py3-osqp-pyc-0.6.2-r6.apk | 76.5 KiB | 2024-Oct-25 19:02 |
py3-osqp-dev-0.6.2-r6.apk | 49.0 KiB | 2024-Oct-25 19:02 |
py3-osqp-0.6.2-r6.apk | 104.2 KiB | 2024-Oct-25 19:02 |
py3-orderedmultidict-pyc-1.0.1-r7.apk | 17.2 KiB | 2024-Oct-25 19:02 |
py3-orderedmultidict-1.0.1-r7.apk | 11.9 KiB | 2024-Oct-25 19:02 |
py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.3 KiB | 2024-Oct-25 19:02 |
py3-openwisp-utils-1.0.4-r4.apk | 497.6 KiB | 2024-Oct-25 19:02 |
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.4 KiB | 2024-Oct-25 19:02 |
py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.2 KiB | 2024-Oct-25 19:02 |
py3-opendht-3.1.7-r5.apk | 154.0 KiB | 2024-Dec-14 21:23 |
py3-openapi-codec-pyc-1.3.2-r9.apk | 11.7 KiB | 2024-Oct-25 19:02 |
py3-openapi-codec-1.3.2-r9.apk | 7.6 KiB | 2024-Oct-25 19:02 |
py3-onnxruntime-pyc-1.19.2-r2.apk | 1.2 MiB | 2024-Nov-11 18:38 |
py3-onnxruntime-1.19.2-r2.apk | 11.2 MiB | 2024-Nov-11 18:38 |
py3-okonomiyaki-pyc-2.0.0-r0.apk | 243.1 KiB | 2024-Oct-25 19:02 |
py3-okonomiyaki-2.0.0-r0.apk | 7.9 MiB | 2024-Oct-25 19:02 |
py3-nwdiag-pyc-3.0.0-r3.apk | 77.9 KiB | 2024-Oct-25 19:02 |
py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-Oct-25 19:02 |
py3-numpy-stl-pyc-3.2.0-r0.apk | 27.9 KiB | 2024-Dec-01 02:04 |
py3-numpy-stl-3.2.0-r0.apk | 21.0 KiB | 2024-Dec-01 02:04 |
py3-ntplib-pyc-0.4.0-r5.apk | 8.6 KiB | 2024-Oct-25 19:02 |
py3-ntplib-0.4.0-r5.apk | 7.4 KiB | 2024-Oct-25 19:02 |
py3-nptyping-pyc-2.5.0-r3.apk | 32.0 KiB | 2024-Oct-25 19:02 |
py3-nptyping-2.5.0-r3.apk | 21.3 KiB | 2024-Oct-25 19:02 |
py3-notifymail-pyc-1.1-r8.apk | 5.7 KiB | 2024-Oct-25 19:02 |
py3-notifymail-1.1-r8.apk | 7.6 KiB | 2024-Oct-25 19:02 |
py3-nose-timer-pyc-1.0.1-r6.apk | 9.9 KiB | 2024-Oct-25 19:02 |
py3-nose-timer-1.0.1-r6.apk | 9.4 KiB | 2024-Oct-25 19:02 |
py3-nmap-pyc-0.7.1-r4.apk | 25.5 KiB | 2024-Oct-25 19:02 |
py3-nmap-0.7.1-r4.apk | 20.3 KiB | 2024-Oct-25 19:02 |
py3-nikola-pyc-8.3.1-r0.apk | 529.9 KiB | 2024-Oct-25 19:02 |
py3-nikola-doc-8.3.1-r0.apk | 60.7 KiB | 2024-Oct-25 19:02 |
py3-nikola-8.3.1-r0.apk | 1.2 MiB | 2024-Oct-25 19:02 |
py3-niaarmts-pyc-0.1.2-r0.apk | 15.7 KiB | 2024-Nov-13 17:10 |
py3-niaarmts-0.1.2-r0.apk | 13.5 KiB | 2024-Nov-13 17:10 |
py3-netmiko-pyc-4.4.0-r0.apk | 333.6 KiB | 2024-Oct-25 19:02 |
py3-netmiko-4.4.0-r0.apk | 175.8 KiB | 2024-Oct-25 19:02 |
py3-netifaces2-pyc-0.0.22-r0.apk | 9.2 KiB | 2024-Oct-25 19:02 |
py3-netifaces2-0.0.22-r0.apk | 189.0 KiB | 2024-Oct-25 19:02 |
py3-ncclient-pyc-0.6.13-r5.apk | 106.5 KiB | 2024-Oct-25 19:02 |
py3-ncclient-0.6.13-r5.apk | 68.2 KiB | 2024-Oct-25 19:02 |
py3-natpmp-pyc-1.3.2-r1.apk | 9.9 KiB | 2024-Oct-25 19:02 |
py3-natpmp-1.3.2-r1.apk | 9.4 KiB | 2024-Oct-25 19:02 |
py3-mss-10.0.0-r0.apk | 50.6 KiB | 2024-Nov-14 13:11 |
py3-msldap-pyc-0.5.12-r0.apk | 314.7 KiB | 2024-Oct-25 19:02 |
py3-msldap-0.5.12-r0.apk | 139.1 KiB | 2024-Oct-25 19:02 |
py3-moviepy-pyc-1.0.3-r6.apk | 155.5 KiB | 2024-Oct-25 19:02 |
py3-moviepy-1.0.3-r6.apk | 94.5 KiB | 2024-Oct-25 19:02 |
py3-more-properties-pyc-1.1.1-r3.apk | 8.2 KiB | 2024-Oct-25 19:02 |
py3-more-properties-1.1.1-r3.apk | 7.4 KiB | 2024-Oct-25 19:02 |
py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.6 KiB | 2024-Oct-25 19:02 |
py3-mopidy-tidal-0.3.2-r6.apk | 24.8 KiB | 2024-Oct-25 19:02 |
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk | 39.1 KiB | 2024-Oct-25 19:02 |
py3-mopidy-spotify-5.0.0_alpha3-r0.apk | 24.3 KiB | 2024-Oct-25 19:02 |
py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.8 KiB | 2024-Oct-25 19:02 |
py3-mopidy-mpd-3.3.0-r4.apk | 46.0 KiB | 2024-Oct-25 19:02 |
py3-mopidy-local-pyc-3.2.1-r4.apk | 34.2 KiB | 2024-Oct-25 19:02 |
py3-mopidy-local-3.2.1-r4.apk | 27.2 KiB | 2024-Oct-25 19:02 |
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.6 KiB | 2024-Oct-25 19:02 |
py3-mopidy-jellyfin-1.0.4-r4.apk | 24.5 KiB | 2024-Oct-25 19:02 |
py3-modbus-tk-pyc-1.1.1-r4.apk | 48.5 KiB | 2024-Oct-25 19:02 |
py3-modbus-tk-1.1.1-r4.apk | 24.8 KiB | 2024-Oct-25 19:02 |
py3-mnemonic-pyc-0.21-r0.apk | 9.7 KiB | 2024-Oct-25 19:02 |
py3-mnemonic-doc-0.21-r0.apk | 2.4 KiB | 2024-Oct-25 19:02 |
py3-mnemonic-0.21-r0.apk | 95.0 KiB | 2024-Oct-25 19:02 |
py3-mistletoe-pyc-1.2.1-r2.apk | 91.6 KiB | 2024-Oct-25 19:02 |
py3-mistletoe-1.2.1-r2.apk | 43.8 KiB | 2024-Oct-25 19:02 |
py3-minio-pyc-7.2.0-r1.apk | 137.5 KiB | 2024-Oct-25 19:02 |
py3-minio-7.2.0-r1.apk | 68.4 KiB | 2024-Oct-25 19:02 |
py3-minikerberos-pyc-0.4.4-r1.apk | 263.6 KiB | 2024-Oct-25 19:02 |
py3-minikerberos-0.4.4-r1.apk | 128.6 KiB | 2024-Oct-25 19:02 |
py3-minidump-pyc-0.0.24-r0.apk | 130.3 KiB | 2024-Oct-25 19:02 |
py3-minidump-0.0.24-r0.apk | 65.3 KiB | 2024-Oct-25 19:02 |
py3-minidb-pyc-2.0.8-r0.apk | 23.4 KiB | 2024-Nov-13 20:04 |
py3-minidb-2.0.8-r0.apk | 10.1 KiB | 2024-Nov-13 20:04 |
py3-milc-pyc-1.9.0-r0.apk | 41.8 KiB | 2024-Oct-25 19:02 |
py3-milc-1.9.0-r0.apk | 26.4 KiB | 2024-Oct-25 19:02 |
py3-migen-pyc-0.9.2-r2.apk | 295.6 KiB | 2024-Oct-25 19:02 |
py3-migen-0.9.2-r2.apk | 142.6 KiB | 2024-Oct-25 19:02 |
py3-mbedtls-pyc-2.10.1-r2.apk | 27.4 KiB | 2024-Oct-25 19:02 |
py3-mbedtls-2.10.1-r2.apk | 893.4 KiB | 2024-Oct-25 19:02 |
py3-marshmallow-pyc-3.23.1-r0.apk | 82.4 KiB | 2024-Nov-02 05:01 |
py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.5 KiB | 2024-Oct-25 19:02 |
py3-marshmallow-enum-1.5.1-r7.apk | 5.3 KiB | 2024-Oct-25 19:02 |
py3-marshmallow-3.23.1-r0.apk | 46.4 KiB | 2024-Nov-02 05:01 |
py3-markdown2-pyc-2.5.0-r0.apk | 75.5 KiB | 2024-Oct-25 19:02 |
py3-markdown2-2.5.0-r0.apk | 47.2 KiB | 2024-Oct-25 19:02 |
py3-marisa-trie-1.2.1-r0.apk | 137.0 KiB | 2024-Nov-11 13:12 |
py3-mapbox-earcut-1.0.1-r2.apk | 59.3 KiB | 2024-Oct-25 19:02 |
py3-manuel-pyc-1.13.0-r0.apk | 25.6 KiB | 2024-Nov-30 20:15 |
py3-manuel-1.13.0-r0.apk | 39.1 KiB | 2024-Nov-30 20:15 |
py3-mando-pyc-0.7.1-r3.apk | 36.0 KiB | 2024-Oct-25 19:02 |
py3-mando-doc-0.7.1-r3.apk | 4.2 KiB | 2024-Oct-25 19:02 |
py3-mando-0.7.1-r3.apk | 22.1 KiB | 2024-Oct-25 19:02 |
py3-m2crypto-pyc-0.41.0-r2.apk | 122.6 KiB | 2024-Oct-25 19:02 |
py3-m2crypto-0.41.0-r2.apk | 190.1 KiB | 2024-Oct-25 19:02 |
py3-lzo-pyc-1.16-r1.apk | 2.0 KiB | 2024-Oct-25 19:02 |
py3-lzo-1.16-r1.apk | 16.4 KiB | 2024-Oct-25 19:02 |
py3-ly-pyc-0.9.8-r1.apk | 355.1 KiB | 2024-Oct-25 19:02 |
py3-ly-doc-0.9.8-r1.apk | 8.1 KiB | 2024-Oct-25 19:02 |
py3-ly-0.9.8-r1.apk | 187.2 KiB | 2024-Oct-25 19:02 |
py3-lunr-pyc-0.6.2-r4.apk | 50.6 KiB | 2024-Oct-25 19:02 |
py3-lunr-0.6.2-r4.apk | 32.5 KiB | 2024-Oct-25 19:02 |
py3-luhn-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-25 19:02 |
py3-luhn-0.2.0-r9.apk | 4.0 KiB | 2024-Oct-25 19:02 |
py3-lsprotocol-pyc-2023.0.1-r1.apk | 107.1 KiB | 2024-Oct-25 19:02 |
py3-lsprotocol-2023.0.1-r1.apk | 69.5 KiB | 2024-Oct-25 19:02 |
py3-lsp-mypy-pyc-0.6.8-r1.apk | 11.2 KiB | 2024-Oct-25 19:02 |
py3-lsp-mypy-0.6.8-r1.apk | 11.5 KiB | 2024-Oct-25 19:02 |
py3-lsp-black-pyc-2.0.0-r1.apk | 6.4 KiB | 2024-Oct-25 19:02 |
py3-lsp-black-2.0.0-r1.apk | 7.5 KiB | 2024-Oct-25 19:02 |
py3-logtop-pyc-0.7-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
py3-logtop-0.7-r0.apk | 20.0 KiB | 2024-Oct-25 19:02 |
py3-logfury-pyc-1.0.1-r0.apk | 7.1 KiB | 2024-Oct-25 19:02 |
py3-logfury-doc-1.0.1-r0.apk | 2.4 KiB | 2024-Oct-25 19:02 |
py3-logfury-1.0.1-r0.apk | 7.9 KiB | 2024-Oct-25 19:02 |
py3-log-symbols-pyc-0.0.14-r5.apk | 3.1 KiB | 2024-Oct-25 19:02 |
py3-log-symbols-0.0.14-r5.apk | 4.2 KiB | 2024-Oct-25 19:02 |
py3-livestream-pyc-2.1.0-r0.apk | 29.9 KiB | 2024-Nov-25 22:22 |
py3-livestream-2.1.0-r0.apk | 766.2 KiB | 2024-Nov-25 22:22 |
py3-litex-pyc-2024.04-r0.apk | 2.6 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-valentyusb-2024.04-r0.apk | 111.5 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-software-picolibc-2024..> | 4.7 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-software-compiler_rt-2..> | 2.2 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> | 57.9 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> | 2.4 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> | 712.6 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 675.2 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> | 230.3 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> | 7.6 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.4 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> | 44.8 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> | 19.5 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> | 207.9 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 112.1 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 500.0 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> | 934.1 KiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> | 1.9 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> | 5.6 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-Oct-25 19:02 |
py3-litex-hub-modules-2024.04-r0.apk | 1.6 KiB | 2024-Oct-25 19:02 |
py3-litex-full-2024.04-r0.apk | 1.6 KiB | 2024-Oct-25 19:02 |
py3-litex-boards-2024.04-r0.apk | 390.5 KiB | 2024-Oct-25 19:02 |
py3-litex-2024.04-r0.apk | 1.3 MiB | 2024-Oct-25 19:02 |
py3-litespi-2024.04-r0.apk | 32.1 KiB | 2024-Oct-25 19:02 |
py3-litesdcard-2024.04-r0.apk | 17.3 KiB | 2024-Oct-25 19:02 |
py3-litescope-2024.04-r0.apk | 16.1 KiB | 2024-Oct-25 19:02 |
py3-litesata-2024.04-r0.apk | 61.8 KiB | 2024-Oct-25 19:02 |
py3-litepcie-2024.04-r0.apk | 108.4 KiB | 2024-Oct-25 19:02 |
py3-litejesd204b-2024.04-r0.apk | 13.3 KiB | 2024-Oct-25 19:02 |
py3-liteiclink-2024.04-r0.apk | 81.9 KiB | 2024-Oct-25 19:02 |
py3-liteeth-2024.04-r0.apk | 102.8 KiB | 2024-Oct-25 19:02 |
py3-litedram-2024.04-r0.apk | 176.1 KiB | 2024-Oct-25 19:02 |
py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.4 KiB | 2024-Oct-25 19:02 |
py3-linkify-it-py-2.0.3-r1.apk | 21.3 KiB | 2024-Oct-25 19:02 |
py3-limits-pyc-3.13.0-r1.apk | 72.3 KiB | 2024-Oct-25 19:02 |
py3-limits-3.13.0-r1.apk | 34.8 KiB | 2024-Oct-25 19:02 |
py3-librtmp-pyc-0.3.0-r6.apk | 24.6 KiB | 2024-Oct-25 19:02 |
py3-librtmp-0.3.0-r6.apk | 34.3 KiB | 2024-Oct-25 19:02 |
py3-libnacl-pyc-2.1.0-r1.apk | 30.3 KiB | 2024-Oct-25 19:02 |
py3-libnacl-2.1.0-r1.apk | 20.3 KiB | 2024-Oct-25 19:02 |
py3-libmdbx-pyc-0.10.2-r7.apk | 32.8 KiB | 2024-Oct-25 19:02 |
py3-libmdbx-0.10.2-r7.apk | 27.9 KiB | 2024-Oct-25 19:02 |
py3-liblarch-pyc-3.2.0-r6.apk | 49.6 KiB | 2024-Dec-08 21:48 |
py3-liblarch-3.2.0-r6.apk | 29.5 KiB | 2024-Dec-08 21:48 |
py3-libiio-0.25-r2.apk | 12.6 KiB | 2024-Oct-25 19:02 |
py3-libguestfs-1.52.0-r1.apk | 176.3 KiB | 2024-Oct-25 19:02 |
py3-libacl-0.7.0-r2.apk | 24.8 KiB | 2024-Oct-25 19:02 |
py3-lib_users-pyc-0.15-r4.apk | 9.5 KiB | 2024-Oct-25 19:02 |
py3-lib_users-0.15-r4.apk | 15.6 KiB | 2024-Oct-25 19:02 |
py3-levenshtein-pyc-0.26.1-r0.apk | 9.3 KiB | 2024-Dec-19 06:16 |
py3-levenshtein-0.26.1-r0.apk | 156.7 KiB | 2024-Dec-19 06:16 |
py3-latex2mathml-pyc-3.77.0-r1.apk | 34.9 KiB | 2024-Oct-25 19:02 |
py3-latex2mathml-3.77.0-r1.apk | 72.3 KiB | 2024-Oct-25 19:02 |
py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-Dec-01 20:08 |
py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-Dec-01 20:08 |
py3-langcodes-pyc-3.3.0-r2.apk | 109.7 KiB | 2024-Oct-25 19:02 |
py3-langcodes-3.3.0-r2.apk | 173.5 KiB | 2024-Oct-25 19:02 |
py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.5 KiB | 2024-Oct-25 19:02 |
py3-landlock-1.0.0_pre4-r2.apk | 8.4 KiB | 2024-Oct-25 19:02 |
py3-kikit-pyc-1.6.0-r0.apk | 273.7 KiB | 2024-Oct-25 19:02 |
py3-kikit-1.6.0-r0.apk | 239.2 KiB | 2024-Oct-25 19:02 |
py3-kerberos-1.3.1-r5.apk | 16.6 KiB | 2024-Oct-25 19:02 |
py3-keepalive-pyc-0.5-r5.apk | 13.0 KiB | 2024-Oct-25 19:02 |
py3-keepalive-doc-0.5-r5.apk | 2.0 KiB | 2024-Oct-25 19:02 |
py3-keepalive-0.5-r5.apk | 9.0 KiB | 2024-Oct-25 19:02 |
py3-kazoo-pyc-0_git20211202-r4.apk | 244.5 KiB | 2024-Oct-25 19:02 |
py3-kazoo-0_git20211202-r4.apk | 125.0 KiB | 2024-Oct-25 19:02 |
py3-junit-xml-pyc-1.9-r3.apk | 9.3 KiB | 2024-Oct-25 19:02 |
py3-junit-xml-1.9-r3.apk | 8.3 KiB | 2024-Oct-25 19:02 |
py3-jsonschema417-pyc-4.17.3-r1.apk | 125.2 KiB | 2024-Oct-25 19:02 |
py3-jsonschema417-4.17.3-r1.apk | 73.3 KiB | 2024-Oct-25 19:02 |
py3-json5-pyc-0.9.25-r0.apk | 29.4 KiB | 2024-Nov-30 21:06 |
py3-json5-0.9.25-r0.apk | 25.0 KiB | 2024-Nov-30 21:06 |
py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6.1 KiB | 2024-Oct-25 19:02 |
py3-jaraco.versioning-1.1.0-r0.apk | 5.9 KiB | 2024-Oct-25 19:02 |
py3-jaraco.vcs-pyc-2.4.0-r0.apk | 15.1 KiB | 2024-Oct-25 19:02 |
py3-jaraco.vcs-2.4.0-r0.apk | 9.8 KiB | 2024-Oct-25 19:02 |
py3-jaraco.stream-pyc-3.0.4-r0.apk | 8.1 KiB | 2024-Dec-14 22:59 |
py3-jaraco.stream-3.0.4-r0.apk | 6.7 KiB | 2024-Dec-14 22:59 |
py3-jaraco.path-pyc-3.7.2-r0.apk | 9.5 KiB | 2024-Oct-25 19:02 |
py3-jaraco.path-3.7.2-r0.apk | 7.6 KiB | 2024-Oct-25 19:02 |
py3-jaraco.logging-pyc-3.3.0-r0.apk | 5.8 KiB | 2024-Oct-25 19:02 |
py3-jaraco.logging-3.3.0-r0.apk | 6.0 KiB | 2024-Oct-25 19:02 |
py3-janus-pyc-1.2.0-r0.apk | 13.3 KiB | 2024-Dec-13 04:57 |
py3-janus-1.2.0-r0.apk | 12.4 KiB | 2024-Dec-13 04:57 |
py3-itunespy-pyc-1.6-r4.apk | 14.8 KiB | 2024-Oct-25 19:02 |
py3-itunespy-1.6-r4.apk | 10.0 KiB | 2024-Oct-25 19:02 |
py3-iterable-io-pyc-1.0.0-r0.apk | 5.3 KiB | 2024-Oct-25 19:02 |
py3-iterable-io-1.0.0-r0.apk | 6.0 KiB | 2024-Oct-25 19:02 |
py3-itemloaders-pyc-1.3.2-r0.apk | 16.6 KiB | 2024-Oct-25 19:02 |
py3-itemloaders-1.3.2-r0.apk | 12.5 KiB | 2024-Oct-25 19:02 |
py3-itemadapter-pyc-0.10.0-r0.apk | 12.7 KiB | 2024-Nov-30 20:42 |
py3-itemadapter-0.10.0-r0.apk | 11.3 KiB | 2024-Nov-30 20:42 |
py3-iso639-lang-pyc-2.2.3-r0.apk | 9.7 KiB | 2024-Oct-25 19:02 |
py3-iso639-lang-2.2.3-r0.apk | 269.0 KiB | 2024-Oct-25 19:02 |
py3-irc-pyc-20.4.1-r0.apk | 71.0 KiB | 2024-Oct-25 19:02 |
py3-irc-20.4.1-r0.apk | 40.9 KiB | 2024-Oct-25 19:02 |
py3-intervals-pyc-0.9.2-r5.apk | 15.2 KiB | 2024-Oct-25 19:02 |
py3-intervals-0.9.2-r5.apk | 9.4 KiB | 2024-Oct-25 19:02 |
py3-iniparse-pyc-0.5-r7.apk | 24.6 KiB | 2024-Oct-25 19:02 |
py3-iniparse-doc-0.5-r7.apk | 10.3 KiB | 2024-Oct-25 19:02 |
py3-iniparse-0.5-r7.apk | 18.7 KiB | 2024-Oct-25 19:02 |
py3-infinity-pyc-1.5-r6.apk | 3.7 KiB | 2024-Oct-25 19:02 |
py3-infinity-1.5-r6.apk | 4.4 KiB | 2024-Oct-25 19:02 |
py3-incoming-pyc-0.3.1-r8.apk | 19.9 KiB | 2024-Oct-25 19:02 |
py3-incoming-0.3.1-r8.apk | 12.8 KiB | 2024-Oct-25 19:02 |
py3-imdbpy-pyc-2021.4.18-r5.apk | 242.6 KiB | 2024-Oct-25 19:02 |
py3-imdbpy-2021.4.18-r5.apk | 229.0 KiB | 2024-Oct-25 19:02 |
py3-imageio-pyc-2.35.1-r0.apk | 504.4 KiB | 2024-Oct-25 19:02 |
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.3 KiB | 2024-Oct-25 19:02 |
py3-imageio-ffmpeg-0.4.9-r1.apk | 16.5 KiB | 2024-Oct-25 19:02 |
py3-imageio-2.35.1-r0.apk | 287.5 KiB | 2024-Oct-25 19:02 |
py3-igraph-pyc-0.11.8-r0.apk | 370.8 KiB | 2024-Nov-24 10:45 |
py3-igraph-dev-0.11.8-r0.apk | 2.5 KiB | 2024-Nov-24 10:45 |
py3-igraph-0.11.8-r0.apk | 402.5 KiB | 2024-Nov-24 10:45 |
py3-hurry.filesize-pyc-0.9-r8.apk | 3.3 KiB | 2024-Oct-25 19:02 |
py3-hurry.filesize-0.9-r8.apk | 4.6 KiB | 2024-Oct-25 19:02 |
py3-html5-parser-pyc-0.4.12-r1.apk | 22.3 KiB | 2024-Oct-25 19:02 |
py3-html5-parser-0.4.12-r1.apk | 161.7 KiB | 2024-Oct-25 19:02 |
py3-hishel-pyc-0.0.33-r0.apk | 73.0 KiB | 2024-Oct-25 19:02 |
py3-hishel-0.0.33-r0.apk | 33.8 KiB | 2024-Oct-25 19:02 |
py3-highctidh-pyc-1.0.2024092800-r0.apk | 11.6 KiB | 2024-Nov-25 20:24 |
py3-highctidh-1.0.2024092800-r0.apk | 313.7 KiB | 2024-Nov-25 20:24 |
py3-hg-git-pyc-1.1.1-r1.apk | 106.8 KiB | 2024-Oct-25 19:02 |
py3-hg-git-1.1.1-r1.apk | 70.1 KiB | 2024-Oct-25 19:02 |
py3-hfst-3.16.0-r2.apk | 358.2 KiB | 2024-Oct-25 19:02 |
py3-helper-pyc-2.5.0-r5.apk | 28.2 KiB | 2024-Oct-25 19:02 |
py3-helper-2.5.0-r5.apk | 18.8 KiB | 2024-Oct-25 19:02 |
py3-hatch-openzim-pyc-0.2.0-r0.apk | 13.0 KiB | 2024-Oct-25 19:02 |
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.7 KiB | 2024-Oct-25 19:02 |
py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24.2 KiB | 2024-Oct-25 19:02 |
py3-hatch-openzim-0.2.0-r0.apk | 24.5 KiB | 2024-Oct-25 19:02 |
py3-halo-pyc-0.0.31-r5.apk | 13.9 KiB | 2024-Oct-25 19:02 |
py3-halo-0.0.31-r5.apk | 11.5 KiB | 2024-Oct-25 19:02 |
py3-gtkspellcheck-pyc-5.0.3-r0.apk | 29.6 KiB | 2024-Dec-07 20:33 |
py3-gtkspellcheck-5.0.3-r0.apk | 44.7 KiB | 2024-Dec-07 20:33 |
py3-grequests-pyc-0.7.0-r2.apk | 5.9 KiB | 2024-Oct-25 19:02 |
py3-grequests-0.7.0-r2.apk | 6.8 KiB | 2024-Oct-25 19:02 |
py3-googletrans-pyc-3.0.0-r5.apk | 17.4 KiB | 2024-Oct-25 19:02 |
py3-googletrans-3.0.0-r5.apk | 15.5 KiB | 2024-Oct-25 19:02 |
py3-google-trans-new-pyc-1.1.9-r2.apk | 10.6 KiB | 2024-Oct-25 19:02 |
py3-google-trans-new-1.1.9-r2.apk | 9.2 KiB | 2024-Oct-25 19:02 |
py3-gnucash-5.9-r1.apk | 292.4 KiB | 2024-Nov-18 23:51 |
py3-gls-pyc-1.3.1-r1.apk | 84.1 KiB | 2024-Oct-25 19:02 |
py3-gls-1.3.1-r1.apk | 46.8 KiB | 2024-Oct-25 19:02 |
py3-glob2-pyc-0.7-r6.apk | 12.9 KiB | 2024-Oct-25 19:02 |
py3-glob2-0.7-r6.apk | 10.3 KiB | 2024-Oct-25 19:02 |
py3-github3-pyc-4.0.1-r1.apk | 227.0 KiB | 2024-Oct-25 19:02 |
py3-github3-4.0.1-r1.apk | 128.3 KiB | 2024-Oct-25 19:02 |
py3-git-versioner-pyc-7.1-r1.apk | 13.5 KiB | 2024-Oct-25 19:02 |
py3-git-versioner-7.1-r1.apk | 12.0 KiB | 2024-Oct-25 19:02 |
py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.4 KiB | 2024-Oct-25 19:02 |
py3-gevent-websocket-0.10.1-r8.apk | 19.7 KiB | 2024-Oct-25 19:02 |
py3-geoip-1.3.2-r4.apk | 21.9 KiB | 2024-Oct-25 19:02 |
py3-gdcm-3.0.24-r0.apk | 661.1 KiB | 2024-Oct-25 19:02 |
py3-fuzzywuzzy-pyc-0.18.0-r7.apk | 14.7 KiB | 2024-Oct-25 19:02 |
py3-fuzzywuzzy-0.18.0-r7.apk | 18.4 KiB | 2024-Oct-25 19:02 |
py3-furl-pyc-2.1.3-r4.apk | 32.3 KiB | 2024-Oct-25 19:02 |
py3-furl-2.1.3-r4.apk | 21.4 KiB | 2024-Oct-25 19:02 |
py3-funcparserlib-pyc-1.0.1-r4.apk | 18.7 KiB | 2024-Oct-25 19:02 |
py3-funcparserlib-1.0.1-r4.apk | 16.6 KiB | 2024-Oct-25 19:02 |
py3-freetype-py-2.5.1-r0.apk | 160.9 KiB | 2024-Oct-25 19:02 |
py3-fpdf-pyc-1.7.2-r5.apk | 89.2 KiB | 2024-Oct-25 19:02 |
py3-fpdf-1.7.2-r5.apk | 39.7 KiB | 2024-Oct-25 19:02 |
py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.7 KiB | 2024-Oct-25 19:02 |
py3-forbiddenfruit-0.1.4-r2.apk | 9.0 KiB | 2024-Oct-25 19:02 |
py3-flask-themer-pyc-2.0.0-r2.apk | 7.0 KiB | 2024-Oct-25 19:02 |
py3-flask-themer-2.0.0-r2.apk | 7.9 KiB | 2024-Oct-25 19:02 |
py3-flask-security-pyc-5.4.3-r2.apk | 210.7 KiB | 2024-Oct-25 19:02 |
py3-flask-security-5.4.3-r2.apk | 267.0 KiB | 2024-Oct-25 19:02 |
py3-flask-restless-pyc-0.17.0-r9.apk | 59.1 KiB | 2024-Oct-25 19:02 |
py3-flask-restless-0.17.0-r9.apk | 40.5 KiB | 2024-Oct-25 19:02 |
py3-flask-restaction-pyc-0.25.3-r8.apk | 19.8 KiB | 2024-Oct-25 19:02 |
py3-flask-restaction-0.25.3-r8.apk | 114.8 KiB | 2024-Oct-25 19:02 |
py3-flask-qrcode-pyc-3.2.0-r0.apk | 6.2 KiB | 2024-Dec-12 07:50 |
py3-flask-qrcode-3.2.0-r0.apk | 18.0 KiB | 2024-Dec-12 07:50 |
py3-flask-peewee-pyc-3.0.6-r0.apk | 95.5 KiB | 2024-Oct-25 19:02 |
py3-flask-peewee-3.0.6-r0.apk | 172.0 KiB | 2024-Oct-25 19:02 |
py3-flask-paginate-pyc-0.8.1-r6.apk | 11.2 KiB | 2024-Oct-25 19:02 |
py3-flask-paginate-0.8.1-r6.apk | 8.2 KiB | 2024-Oct-25 19:02 |
py3-flask-migrate-pyc-4.0.7-r0.apk | 18.1 KiB | 2024-Oct-25 19:02 |
py3-flask-migrate-4.0.7-r0.apk | 13.1 KiB | 2024-Oct-25 19:02 |
py3-flask-markdown-pyc-0.3-r8.apk | 3.9 KiB | 2024-Oct-25 19:02 |
py3-flask-markdown-0.3-r8.apk | 5.6 KiB | 2024-Oct-25 19:02 |
py3-flask-mailman-pyc-1.1.1-r0.apk | 25.7 KiB | 2024-Oct-25 19:02 |
py3-flask-mailman-1.1.1-r0.apk | 16.5 KiB | 2024-Oct-25 19:02 |
py3-flask-loopback-pyc-1.4.7-r7.apk | 7.9 KiB | 2024-Oct-25 19:02 |
py3-flask-loopback-1.4.7-r7.apk | 5.6 KiB | 2024-Oct-25 19:02 |
py3-flask-limiter-pyc-3.9.2-r0.apk | 47.1 KiB | 2024-Nov-27 22:57 |
py3-flask-limiter-3.9.2-r0.apk | 26.6 KiB | 2024-Nov-27 22:57 |
py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.4 KiB | 2024-Oct-25 19:02 |
py3-flask-json-schema-0.0.5-r4.apk | 4.1 KiB | 2024-Oct-25 19:02 |
py3-flask-httpauth-pyc-4.8.0-r2.apk | 10.6 KiB | 2024-Oct-25 19:02 |
py3-flask-httpauth-4.8.0-r2.apk | 8.0 KiB | 2024-Oct-25 19:02 |
py3-flask-headers-pyc-1.0-r9.apk | 2.5 KiB | 2024-Oct-25 19:02 |
py3-flask-headers-1.0-r9.apk | 3.2 KiB | 2024-Oct-25 19:02 |
py3-flask-gzip-pyc-0.2-r8.apk | 2.8 KiB | 2024-Oct-25 19:02 |
py3-flask-gzip-0.2-r8.apk | 3.2 KiB | 2024-Oct-25 19:02 |
py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.7 KiB | 2024-Dec-06 23:00 |
py3-flask-flatpages-0.8.3-r0.apk | 10.7 KiB | 2024-Dec-06 23:00 |
py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.3 KiB | 2024-Oct-25 19:02 |
py3-flask-dbconfig-0.3.12-r8.apk | 85.7 KiB | 2024-Oct-25 19:02 |
py3-flask-components-pyc-0.1.1-r9.apk | 3.3 KiB | 2024-Oct-25 19:02 |
py3-flask-components-0.1.1-r9.apk | 3.9 KiB | 2024-Oct-25 19:02 |
py3-flask-cdn-pyc-1.5.3-r8.apk | 4.1 KiB | 2024-Oct-25 19:02 |
py3-flask-cdn-1.5.3-r8.apk | 4.8 KiB | 2024-Oct-25 19:02 |
py3-flask-cache-pyc-0.13.1-r9.apk | 18.3 KiB | 2024-Oct-25 19:02 |
py3-flask-cache-0.13.1-r9.apk | 12.8 KiB | 2024-Oct-25 19:02 |
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk | 10.9 KiB | 2024-Oct-25 19:02 |
py3-flask-bootstrap-3.3.7.1-r8.apk | 449.8 KiB | 2024-Oct-25 19:02 |
py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.8 KiB | 2024-Oct-25 19:02 |
py3-flask-bcrypt-1.0.1-r5.apk | 7.1 KiB | 2024-Oct-25 19:02 |
py3-flask-basicauth-pyc-0.2.0-r9.apk | 4.1 KiB | 2024-Oct-25 19:02 |
py3-flask-basicauth-0.2.0-r9.apk | 5.3 KiB | 2024-Oct-25 19:02 |
py3-flask-autorouter-pyc-0.2.2-r3.apk | 4.9 KiB | 2024-Oct-25 19:02 |
py3-flask-autorouter-0.2.2-r3.apk | 5.1 KiB | 2024-Oct-25 19:02 |
py3-flask-admin-pyc-1.6.1-r3.apk | 358.3 KiB | 2024-Oct-25 19:02 |
py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-Oct-25 19:02 |
py3-flask-accept-pyc-0.0.6-r1.apk | 3.7 KiB | 2024-Oct-25 19:02 |
py3-flask-accept-0.0.6-r1.apk | 5.0 KiB | 2024-Oct-25 19:02 |
py3-flake8-todo-pyc-0.7-r7.apk | 2.2 KiB | 2024-Oct-25 19:02 |
py3-flake8-todo-0.7-r7.apk | 3.6 KiB | 2024-Oct-25 19:02 |
py3-flake8-snippets-pyc-0.2-r8.apk | 3.7 KiB | 2024-Oct-25 19:02 |
py3-flake8-snippets-0.2-r8.apk | 5.3 KiB | 2024-Oct-25 19:02 |
py3-flake8-print-pyc-5.0.0-r5.apk | 4.4 KiB | 2024-Oct-25 19:02 |
py3-flake8-print-5.0.0-r5.apk | 6.7 KiB | 2024-Oct-25 19:02 |
py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.7 KiB | 2024-Oct-25 19:02 |
py3-flake8-polyfill-1.0.2-r5.apk | 7.1 KiB | 2024-Oct-25 19:02 |
py3-flake8-isort-pyc-6.1.1-r1.apk | 5.4 KiB | 2024-Oct-25 19:02 |
py3-flake8-isort-6.1.1-r1.apk | 18.2 KiB | 2024-Oct-25 19:02 |
py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.8 KiB | 2024-Oct-25 19:02 |
py3-flake8-import-order-0.18.2-r4.apk | 15.4 KiB | 2024-Oct-25 19:02 |
py3-flake8-debugger-pyc-4.1.2-r4.apk | 6.0 KiB | 2024-Oct-25 19:02 |
py3-flake8-debugger-4.1.2-r4.apk | 6.2 KiB | 2024-Oct-25 19:02 |
py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.3 KiB | 2024-Oct-25 19:02 |
py3-flake8-copyright-0.2.4-r3.apk | 18.2 KiB | 2024-Oct-25 19:02 |
py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.9 KiB | 2024-Dec-07 21:51 |
py3-flake8-builtins-2.5.0-r0.apk | 12.7 KiB | 2024-Dec-07 21:51 |
py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.6 KiB | 2024-Oct-25 19:02 |
py3-flake8-blind-except-0.2.1-r4.apk | 5.2 KiB | 2024-Oct-25 19:02 |
py3-firmata-pyc-1.0.3-r10.apk | 20.9 KiB | 2024-Oct-25 19:02 |
py3-firmata-1.0.3-r10.apk | 14.2 KiB | 2024-Oct-25 19:02 |
py3-findpython-pyc-0.6.2-r0.apk | 29.8 KiB | 2024-Oct-25 19:02 |
py3-findpython-0.6.2-r0.apk | 17.3 KiB | 2024-Oct-25 19:02 |
py3-ffmpeg-pyc-0.2.0-r4.apk | 32.8 KiB | 2024-Oct-25 19:02 |
py3-ffmpeg-0.2.0-r4.apk | 24.0 KiB | 2024-Oct-25 19:02 |
py3-feedgenerator-pyc-2.1.0-r2.apk | 26.7 KiB | 2024-Oct-25 19:02 |
py3-feedgenerator-2.1.0-r2.apk | 18.0 KiB | 2024-Oct-25 19:02 |
py3-feedgen-pyc-1.0.0-r1.apk | 61.7 KiB | 2024-Oct-25 19:02 |
py3-feedgen-1.0.0-r1.apk | 40.2 KiB | 2024-Oct-25 19:02 |
py3-fastdiff-pyc-0.3.0-r5.apk | 4.2 KiB | 2024-Oct-25 19:02 |
py3-fastdiff-0.3.0-r5.apk | 38.4 KiB | 2024-Oct-25 19:02 |
py3-fastavro-pyc-1.10.0-r0.apk | 82.0 KiB | 2024-Dec-21 10:25 |
py3-fastavro-1.10.0-r0.apk | 421.4 KiB | 2024-Dec-21 10:25 |
py3-evohome-client-pyc-0.3.7-r4.apk | 27.0 KiB | 2024-Oct-25 19:02 |
py3-evohome-client-0.3.7-r4.apk | 19.0 KiB | 2024-Oct-25 19:02 |
py3-eventlet-pyc-0.38.1-r0.apk | 335.6 KiB | 2024-Dec-12 04:50 |
py3-eventlet-0.38.1-r0.apk | 332.2 KiB | 2024-Dec-12 04:50 |
py3-euclid3-pyc-0.01-r8.apk | 32.6 KiB | 2024-Oct-25 19:02 |
py3-euclid3-0.01-r8.apk | 14.2 KiB | 2024-Oct-25 19:02 |
py3-eradicate-pyc-2.3.0-r2.apk | 8.3 KiB | 2024-Oct-25 19:02 |
py3-eradicate-doc-2.3.0-r2.apk | 2.5 KiB | 2024-Oct-25 19:02 |
py3-eradicate-2.3.0-r2.apk | 7.6 KiB | 2024-Oct-25 19:02 |
py3-enzyme-pyc-0.5.1-r0.apk | 18.9 KiB | 2024-Oct-25 19:02 |
py3-enzyme-0.5.1-r0.apk | 23.2 KiB | 2024-Oct-25 19:02 |
py3-empy-pyc-3.3.4-r7.apk | 58.5 KiB | 2024-Oct-25 19:02 |
py3-empy-3.3.4-r7.apk | 39.3 KiB | 2024-Oct-25 19:02 |
py3-editdistance-s-pyc-1.0.0-r6.apk | 2.0 KiB | 2024-Oct-25 19:02 |
py3-editdistance-s-1.0.0-r6.apk | 13.1 KiB | 2024-Oct-25 19:02 |
py3-edalize-pyc-0.5.4-r0.apk | 190.2 KiB | 2024-Oct-25 19:02 |
py3-edalize-0.5.4-r0.apk | 122.6 KiB | 2024-Oct-25 19:02 |
py3-ecos-pyc-2.0.11-r4.apk | 3.6 KiB | 2024-Oct-25 19:02 |
py3-ecos-2.0.11-r4.apk | 27.3 KiB | 2024-Oct-25 19:02 |
py3-dweepy-pyc-0.3.0-r7.apk | 6.3 KiB | 2024-Oct-25 19:02 |
py3-dweepy-0.3.0-r7.apk | 9.1 KiB | 2024-Oct-25 19:02 |
py3-duniterpy-1.1.1-r3.apk | 221.3 KiB | 2024-Oct-25 19:02 |
py3-dunamai-pyc-1.23.0-r0.apk | 42.8 KiB | 2024-Dec-10 08:58 |
py3-dunamai-1.23.0-r0.apk | 26.2 KiB | 2024-Dec-10 08:58 |
py3-dt-schema-pyc-2024.11-r0.apk | 50.1 KiB | 2024-Nov-11 19:29 |
py3-dt-schema-2024.11-r0.apk | 77.9 KiB | 2024-Nov-11 19:29 |
py3-drf-yasg-pyc-1.21.7-r2.apk | 97.3 KiB | 2024-Oct-25 19:02 |
py3-drf-yasg-1.21.7-r2.apk | 4.1 MiB | 2024-Oct-25 19:02 |
py3-dpath-pyc-2.2.0-r0.apk | 17.8 KiB | 2024-Oct-25 19:02 |
py3-dpath-2.2.0-r0.apk | 17.0 KiB | 2024-Oct-25 19:02 |
py3-downloader-cli-pyc-0.3.4-r1.apk | 14.3 KiB | 2024-Oct-25 19:02 |
py3-downloader-cli-0.3.4-r1.apk | 11.5 KiB | 2024-Oct-25 19:02 |
py3-dotty-dict-pyc-1.3.1-r4.apk | 8.7 KiB | 2024-Oct-25 19:02 |
py3-dotty-dict-1.3.1-r4.apk | 8.4 KiB | 2024-Oct-25 19:02 |
py3-dominate-pyc-2.9.1-r1.apk | 33.7 KiB | 2024-Oct-25 19:02 |
py3-dominate-2.9.1-r1.apk | 24.8 KiB | 2024-Oct-25 19:02 |
py3-doit-pyc-0.36.0-r5.apk | 133.2 KiB | 2024-Oct-25 19:02 |
py3-doit-0.36.0-r5.apk | 76.5 KiB | 2024-Oct-25 19:02 |
py3-dogpile.cache-pyc-1.3.3-r0.apk | 90.5 KiB | 2024-Oct-25 19:02 |
py3-dogpile.cache-1.3.3-r0.apk | 52.7 KiB | 2024-Oct-25 19:02 |
py3-dnslib-pyc-0.9.25-r0.apk | 108.9 KiB | 2024-Oct-25 19:02 |
py3-dnslib-0.9.25-r0.apk | 51.8 KiB | 2024-Oct-25 19:02 |
py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 5.0 KiB | 2024-Oct-25 19:02 |
py3-django-taggit-serializer-0.1.7-r8.apk | 4.0 KiB | 2024-Oct-25 19:02 |
py3-django-suit-pyc-0.2.28-r8.apk | 32.5 KiB | 2024-Oct-25 19:02 |
py3-django-suit-0.2.28-r8.apk | 365.8 KiB | 2024-Oct-25 19:02 |
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> | 14.9 KiB | 2024-Oct-25 19:02 |
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.7 KiB | 2024-Oct-25 19:02 |
py3-distorm3-pyc-3.5.2-r6.apk | 48.7 KiB | 2024-Oct-25 19:02 |
py3-distorm3-3.5.2-r6.apk | 46.2 KiB | 2024-Oct-25 19:02 |
py3-diskcache-pyc-5.6.3-r2.apk | 67.1 KiB | 2024-Oct-25 19:02 |
py3-diskcache-5.6.3-r2.apk | 42.3 KiB | 2024-Oct-25 19:02 |
py3-discid-pyc-1.2.0-r6.apk | 13.1 KiB | 2024-Oct-25 19:02 |
py3-discid-1.2.0-r6.apk | 23.8 KiB | 2024-Oct-25 19:02 |
py3-dexml-pyc-0.5.1-r9.apk | 37.5 KiB | 2024-Oct-25 19:02 |
py3-dexml-0.5.1-r9.apk | 22.2 KiB | 2024-Oct-25 19:02 |
py3-dep-logic-pyc-0.4.10-r0.apk | 53.1 KiB | 2024-Dec-15 22:08 |
py3-dep-logic-0.4.10-r0.apk | 27.8 KiB | 2024-Dec-15 22:08 |
py3-deluge-client-pyc-1.10.2-r0.apk | 19.7 KiB | 2024-Oct-25 19:02 |
py3-deluge-client-doc-1.10.2-r0.apk | 2.3 KiB | 2024-Oct-25 19:02 |
py3-deluge-client-1.10.2-r0.apk | 12.9 KiB | 2024-Oct-25 19:02 |
py3-dbus-fast-pyc-2.24.4-r0.apk | 125.6 KiB | 2024-Nov-16 22:32 |
py3-dbus-fast-doc-2.24.4-r0.apk | 5.4 KiB | 2024-Nov-16 22:32 |
py3-dbus-fast-2.24.4-r0.apk | 588.0 KiB | 2024-Nov-16 22:32 |
py3-daterangestr-pyc-0.0.3-r8.apk | 4.2 KiB | 2024-Oct-25 19:02 |
py3-daterangestr-0.0.3-r8.apk | 4.4 KiB | 2024-Oct-25 19:02 |
py3-dateparser-pyc-1.2.0-r0.apk | 333.7 KiB | 2024-Nov-23 04:26 |
py3-dateparser-1.2.0-r0.apk | 196.6 KiB | 2024-Nov-23 04:26 |
py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.3 KiB | 2024-Oct-25 19:02 |
py3-dataclasses-serialization-1.3.1-r3.apk | 11.0 KiB | 2024-Oct-25 19:02 |
py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.7 KiB | 2024-Oct-25 19:02 |
py3-dataclasses-json-0.6.7-r0.apk | 27.4 KiB | 2024-Oct-25 19:02 |
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
py3-cython-test-exception-raiser-1.0.2-r0.apk | 17.3 KiB | 2024-Oct-25 19:02 |
py3-cvxpy-pyc-1.2.1-r5.apk | 936.1 KiB | 2024-Oct-25 19:02 |
py3-cvxpy-1.2.1-r5.apk | 670.3 KiB | 2024-Oct-25 19:02 |
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.4 KiB | 2024-Dec-01 20:13 |
py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.7 KiB | 2024-Dec-01 20:13 |
py3-cstruct-pyc-5.3-r1.apk | 36.1 KiB | 2024-Oct-25 19:02 |
py3-cstruct-5.3-r1.apk | 22.0 KiB | 2024-Oct-25 19:02 |
py3-cssutils-pyc-2.11.1-r1.apk | 278.6 KiB | 2024-Oct-25 19:02 |
py3-cssutils-2.11.1-r1.apk | 155.2 KiB | 2024-Oct-25 19:02 |
py3-criu-pyc-3.19-r1.apk | 73.4 KiB | 2024-Oct-25 19:02 |
py3-criu-3.19-r1.apk | 51.7 KiB | 2024-Oct-25 19:02 |
py3-createrepo_c-pyc-1.1.4-r0.apk | 14.5 KiB | 2024-Oct-25 19:02 |
py3-createrepo_c-1.1.4-r0.apk | 40.9 KiB | 2024-Oct-25 19:02 |
py3-crc16-pyc-0.1.1-r10.apk | 4.7 KiB | 2024-Oct-25 19:02 |
py3-crc16-0.1.1-r10.apk | 11.6 KiB | 2024-Oct-25 19:02 |
py3-coreapi-pyc-2.3.3-r9.apk | 43.3 KiB | 2024-Oct-25 19:02 |
py3-coreapi-2.3.3-r9.apk | 22.2 KiB | 2024-Oct-25 19:02 |
py3-cookiecutter-pyc-2.6.0-r1.apk | 47.5 KiB | 2024-Oct-25 19:02 |
py3-cookiecutter-doc-2.6.0-r1.apk | 3.7 KiB | 2024-Oct-25 19:02 |
py3-cookiecutter-2.6.0-r1.apk | 35.4 KiB | 2024-Oct-25 19:02 |
py3-confluent-kafka-pyc-1.8.2-r5.apk | 77.0 KiB | 2024-Oct-25 19:02 |
py3-confluent-kafka-1.8.2-r5.apk | 97.7 KiB | 2024-Oct-25 19:02 |
py3-compdb-pyc-0.2.0-r8.apk | 39.6 KiB | 2024-Oct-25 19:02 |
py3-compdb-doc-0.2.0-r8.apk | 3.0 KiB | 2024-Oct-25 19:02 |
py3-compdb-0.2.0-r8.apk | 23.3 KiB | 2024-Oct-25 19:02 |
py3-columnize-pyc-0.3.11-r4.apk | 7.5 KiB | 2024-Oct-25 19:02 |
py3-columnize-0.3.11-r4.apk | 8.5 KiB | 2024-Oct-25 19:02 |
py3-colorthief-pyc-0.2.1-r1.apk | 10.1 KiB | 2024-Oct-25 19:02 |
py3-colorthief-0.2.1-r1.apk | 7.3 KiB | 2024-Oct-25 19:02 |
py3-colored-pyc-2.2.4-r0.apk | 23.7 KiB | 2024-Dec-13 19:44 |
py3-colored-2.2.4-r0.apk | 15.0 KiB | 2024-Dec-13 19:44 |
py3-colander-pyc-2.0-r2.apk | 42.5 KiB | 2024-Oct-25 19:02 |
py3-colander-2.0-r2.apk | 62.5 KiB | 2024-Oct-25 19:02 |
py3-cobs-pyc-1.2.0-r4.apk | 12.5 KiB | 2024-Oct-25 19:02 |
py3-cobs-1.2.0-r4.apk | 18.7 KiB | 2024-Oct-25 19:02 |
py3-cmd2-pyc-2.4.3-r2.apk | 222.6 KiB | 2024-Oct-25 19:02 |
py3-cmd2-2.4.3-r2.apk | 139.4 KiB | 2024-Oct-25 19:02 |
py3-clickclick-pyc-20.10.2-r4.apk | 9.8 KiB | 2024-Oct-25 19:02 |
py3-clickclick-20.10.2-r4.apk | 8.0 KiB | 2024-Oct-25 19:02 |
py3-click-threading-pyc-0.5.0-r5.apk | 7.9 KiB | 2024-Oct-25 19:02 |
py3-click-threading-0.5.0-r5.apk | 6.3 KiB | 2024-Oct-25 19:02 |
py3-click-default-group-pyc-1.2.4-r1.apk | 4.5 KiB | 2024-Oct-25 19:02 |
py3-click-default-group-1.2.4-r1.apk | 5.1 KiB | 2024-Oct-25 19:02 |
py3-click-completion-pyc-0.5.2-r1.apk | 14.2 KiB | 2024-Oct-25 19:02 |
py3-click-completion-0.5.2-r1.apk | 10.8 KiB | 2024-Oct-25 19:02 |
py3-class-doc-pyc-1.25-r1.apk | 8.7 KiB | 2024-Oct-25 19:02 |
py3-class-doc-1.25-r1.apk | 6.1 KiB | 2024-Oct-25 19:02 |
py3-cjkwrap-pyc-2.2-r4.apk | 5.2 KiB | 2024-Oct-25 19:02 |
py3-cjkwrap-2.2-r4.apk | 4.6 KiB | 2024-Oct-25 19:02 |
py3-ciso8601-2.3.1-r1.apk | 15.1 KiB | 2024-Oct-25 19:02 |
py3-chameleon-pyc-4.5.4-r0.apk | 131.0 KiB | 2024-Oct-25 19:02 |
py3-chameleon-4.5.4-r0.apk | 97.5 KiB | 2024-Oct-25 19:02 |
py3-certauth-pyc-1.3.0-r1.apk | 9.1 KiB | 2024-Oct-25 19:02 |
py3-certauth-1.3.0-r1.apk | 8.7 KiB | 2024-Oct-25 19:02 |
py3-cdio-pyc-2.1.1-r5.apk | 43.3 KiB | 2024-Oct-25 19:02 |
py3-cdio-2.1.1-r5.apk | 98.6 KiB | 2024-Oct-25 19:02 |
py3-cchardet-pyc-2.1.7-r5.apk | 3.1 KiB | 2024-Oct-25 19:02 |
py3-cchardet-2.1.7-r5.apk | 122.4 KiB | 2024-Oct-25 19:02 |
py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.6 KiB | 2024-Oct-25 19:02 |
py3-catkin-pkg-0.5.2-r4.apk | 57.1 KiB | 2024-Oct-25 19:02 |
py3-cassandra-driver-pyc-3.29.2-r0.apk | 559.9 KiB | 2024-Oct-25 19:02 |
py3-cassandra-driver-3.29.2-r0.apk | 285.8 KiB | 2024-Oct-25 19:02 |
py3-caldav-pyc-1.4.0-r0.apk | 90.4 KiB | 2024-Nov-09 20:42 |
py3-caldav-1.4.0-r0.apk | 67.7 KiB | 2024-Nov-09 20:42 |
py3-c3d-pyc-0.5.2-r1.apk | 53.7 KiB | 2024-Oct-25 19:02 |
py3-c3d-0.5.2-r1.apk | 32.1 KiB | 2024-Oct-25 19:02 |
py3-businesstime-pyc-0.3.0-r9.apk | 16.3 KiB | 2024-Oct-25 19:02 |
py3-businesstime-0.3.0-r9.apk | 10.6 KiB | 2024-Oct-25 19:02 |
py3-bson-pyc-0.5.10-r6.apk | 18.5 KiB | 2024-Oct-25 19:02 |
py3-bson-0.5.10-r6.apk | 11.7 KiB | 2024-Oct-25 19:02 |
py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.3 KiB | 2024-Oct-25 19:02 |
py3-bottle-werkzeug-0.1.1-r9.apk | 4.1 KiB | 2024-Oct-25 19:02 |
py3-bottle-websocket-pyc-0.2.9-r8.apk | 3.1 KiB | 2024-Oct-25 19:02 |
py3-bottle-websocket-0.2.9-r8.apk | 4.6 KiB | 2024-Oct-25 19:02 |
py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.2 KiB | 2024-Oct-25 19:02 |
py3-bottle-sqlite-0.2.0-r7.apk | 4.7 KiB | 2024-Oct-25 19:02 |
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.6 KiB | 2024-Oct-25 19:02 |
py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.9 KiB | 2024-Oct-25 19:02 |
py3-bottle-session-pyc-1.0-r6.apk | 7.8 KiB | 2024-Oct-25 19:02 |
py3-bottle-session-1.0-r6.apk | 10.2 KiB | 2024-Oct-25 19:02 |
py3-bottle-rest-pyc-0.6.0-r1.apk | 5.2 KiB | 2024-Oct-25 19:02 |
py3-bottle-rest-0.6.0-r1.apk | 6.1 KiB | 2024-Oct-25 19:02 |
py3-bottle-request-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-25 19:02 |
py3-bottle-request-0.2.0-r9.apk | 3.2 KiB | 2024-Oct-25 19:02 |
py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.7 KiB | 2024-Oct-25 19:02 |
py3-bottle-renderer-0.1.1-r9.apk | 4.0 KiB | 2024-Oct-25 19:02 |
py3-bottle-redis-pyc-0.2.3-r6.apk | 3.1 KiB | 2024-Oct-25 19:02 |
py3-bottle-redis-0.2.3-r6.apk | 3.3 KiB | 2024-Oct-25 19:02 |
py3-bottle-pgsql-0.2-r5.apk | 4.3 KiB | 2024-Oct-25 19:02 |
py3-bottle-api-pyc-0.0.4-r7.apk | 5.2 KiB | 2024-Oct-25 19:02 |
py3-bottle-api-0.0.4-r7.apk | 4.9 KiB | 2024-Oct-25 19:02 |
py3-bookkeeper-pyc-4.17.1-r0.apk | 66.6 KiB | 2024-Oct-25 19:02 |
py3-bookkeeper-4.17.1-r0.apk | 42.9 KiB | 2024-Oct-25 19:02 |
py3-blockdiag-tests-3.0.0-r5.apk | 2.5 MiB | 2024-Oct-25 19:02 |
py3-blockdiag-pyc-3.0.0-r5.apk | 150.7 KiB | 2024-Oct-25 19:02 |
py3-blockdiag-3.0.0-r5.apk | 70.3 KiB | 2024-Oct-25 19:02 |
py3-blockchain-pyc-1.4.4-r7.apk | 17.9 KiB | 2024-Oct-25 19:02 |
py3-blockchain-1.4.4-r7.apk | 11.0 KiB | 2024-Oct-25 19:02 |
py3-bleak-0.22.3-r0.apk | 369.8 KiB | 2024-Oct-25 19:02 |
py3-bitstruct-pyc-8.19.0-r1.apk | 12.8 KiB | 2024-Oct-25 19:02 |
py3-bitstruct-8.19.0-r1.apk | 34.0 KiB | 2024-Oct-25 19:02 |
py3-bite-parser-pyc-0.2.5-r0.apk | 23.5 KiB | 2024-Oct-28 21:51 |
py3-bite-parser-0.2.5-r0.apk | 13.6 KiB | 2024-Oct-28 21:51 |
py3-bidict-pyc-0.23.1-r1.apk | 28.8 KiB | 2024-Oct-25 19:02 |
py3-bidict-0.23.1-r1.apk | 27.7 KiB | 2024-Oct-25 19:02 |
py3-bencode-pyc-4.0.0-r1.apk | 10.5 KiB | 2024-Oct-25 19:02 |
py3-bencode-4.0.0-r1.apk | 17.1 KiB | 2024-Oct-25 19:02 |
py3-beartype-pyc-0.19.0-r0.apk | 595.5 KiB | 2024-Oct-25 19:02 |
py3-beartype-0.19.0-r0.apk | 827.3 KiB | 2024-Oct-25 19:02 |
py3-base58-2.1.1-r2.apk | 11.1 KiB | 2024-Oct-25 19:02 |
py3-barcodenumber-pyc-0.2.1-r10.apk | 4.3 KiB | 2024-Oct-25 19:02 |
py3-barcodenumber-0.2.1-r10.apk | 16.3 KiB | 2024-Oct-25 19:02 |
py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 69.2 KiB | 2024-Oct-25 19:02 |
py3-bandwidth-sdk-3.1.0-r8.apk | 46.0 KiB | 2024-Oct-25 19:02 |
py3-banal-pyc-1.0.6-r4.apk | 7.2 KiB | 2024-Oct-25 19:02 |
py3-banal-1.0.6-r4.apk | 6.9 KiB | 2024-Oct-25 19:02 |
py3-backoff-pyc-2.2.1-r0.apk | 14.5 KiB | 2024-Oct-25 19:02 |
py3-backoff-doc-2.2.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
py3-backoff-2.2.1-r0.apk | 13.9 KiB | 2024-Oct-25 19:02 |
py3-b2sdk-pyc-2.7.0-r0.apk | 401.5 KiB | 2024-Dec-20 06:00 |
py3-b2sdk-2.7.0-r0.apk | 214.6 KiB | 2024-Dec-20 06:00 |
py3-avro-pyc-1.11.3-r1.apk | 191.4 KiB | 2024-Oct-25 19:02 |
py3-avro-1.11.3-r1.apk | 97.7 KiB | 2024-Oct-25 19:02 |
py3-asysocks-pyc-0.2.13-r0.apk | 231.8 KiB | 2024-Oct-25 19:02 |
py3-asysocks-0.2.13-r0.apk | 87.0 KiB | 2024-Oct-25 19:02 |
py3-async-lru-pyc-2.0.4-r1.apk | 8.6 KiB | 2024-Oct-25 19:02 |
py3-async-lru-2.0.4-r1.apk | 7.3 KiB | 2024-Oct-25 19:02 |
py3-asyauth-pyc-0.0.21-r0.apk | 171.4 KiB | 2024-Oct-25 19:02 |
py3-asyauth-0.0.21-r0.apk | 79.5 KiB | 2024-Oct-25 19:02 |
py3-astral-pyc-3.2-r3.apk | 58.9 KiB | 2024-Oct-25 19:02 |
py3-astral-3.2-r3.apk | 37.0 KiB | 2024-Oct-25 19:02 |
py3-ask-pyc-0.0.8-r8.apk | 4.5 KiB | 2024-Oct-25 19:02 |
py3-ask-0.0.8-r8.apk | 5.0 KiB | 2024-Oct-25 19:02 |
py3-asif-pyc-0.3.2-r3.apk | 25.9 KiB | 2024-Oct-25 19:02 |
py3-asif-0.3.2-r3.apk | 13.3 KiB | 2024-Oct-25 19:02 |
py3-arcus-5.3.0-r1.apk | 81.7 KiB | 2024-Oct-25 19:02 |
py3-apsw-pyc-3.47.2.0-r0.apk | 516.4 KiB | 2024-Dec-16 07:26 |
py3-apsw-3.47.2.0-r0.apk | 807.0 KiB | 2024-Dec-16 07:26 |
py3-apio-pyc-0.9.5-r0.apk | 77.2 KiB | 2024-Oct-25 19:02 |
py3-apio-0.9.5-r0.apk | 72.4 KiB | 2024-Oct-25 19:02 |
py3-apicula-pyc-0.11.1-r1.apk | 179.5 KiB | 2024-Oct-25 19:02 |
py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-Oct-25 19:02 |
py3-anyascii-pyc-0.3.2-r1.apk | 3.3 KiB | 2024-Oct-25 19:02 |
py3-anyascii-0.3.2-r1.apk | 274.7 KiB | 2024-Oct-25 19:02 |
py3-ansi2html-pyc-1.9.2-r0.apk | 21.9 KiB | 2024-Oct-25 19:02 |
py3-ansi2html-1.9.2-r0.apk | 17.6 KiB | 2024-Oct-25 19:02 |
py3-altgraph-pyc-0.17.4-r1.apk | 29.1 KiB | 2024-Oct-25 19:02 |
py3-altgraph-0.17.4-r1.apk | 20.7 KiB | 2024-Oct-25 19:02 |
py3-allfiles-pyc-1.0-r8.apk | 3.3 KiB | 2024-Oct-25 19:02 |
py3-allfiles-1.0-r8.apk | 3.6 KiB | 2024-Oct-25 19:02 |
py3-aioxmpp-pyc-0.13.3-r3.apk | 673.1 KiB | 2024-Oct-25 19:02 |
py3-aioxmpp-doc-0.13.3-r3.apk | 18.3 KiB | 2024-Oct-25 19:02 |
py3-aioxmpp-0.13.3-r3.apk | 387.7 KiB | 2024-Oct-25 19:02 |
py3-aiowinreg-pyc-0.0.12-r0.apk | 45.4 KiB | 2024-Oct-25 19:02 |
py3-aiowinreg-0.0.12-r0.apk | 23.1 KiB | 2024-Oct-25 19:02 |
py3-aiosmb-pyc-0.4.11-r0.apk | 1.1 MiB | 2024-Oct-25 19:02 |
py3-aiosmb-0.4.11-r0.apk | 604.6 KiB | 2024-Oct-25 19:02 |
py3-aiosasl-pyc-0.5.0-r4.apk | 23.9 KiB | 2024-Oct-25 19:02 |
py3-aiosasl-doc-0.5.0-r4.apk | 16.5 KiB | 2024-Oct-25 19:02 |
py3-aiosasl-0.5.0-r4.apk | 29.6 KiB | 2024-Oct-25 19:02 |
py3-aioopenssl-pyc-0.6.0-r4.apk | 19.1 KiB | 2024-Oct-25 19:02 |
py3-aioopenssl-0.6.0-r4.apk | 20.8 KiB | 2024-Oct-25 19:02 |
py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.8 KiB | 2024-Oct-25 19:02 |
py3-aiohttp-session-2.12.1-r0.apk | 10.4 KiB | 2024-Oct-25 19:02 |
py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.9 KiB | 2024-Nov-04 12:28 |
py3-aiohttp-remotes-1.3.0-r0.apk | 10.0 KiB | 2024-Nov-04 12:28 |
py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.2 KiB | 2024-Oct-25 19:02 |
py3-aiohttp-jinja2-1.6-r2.apk | 12.4 KiB | 2024-Oct-25 19:02 |
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 51.2 KiB | 2024-Oct-25 19:02 |
py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.9 KiB | 2024-Oct-25 19:02 |
py3-aiodocker-pyc-0.21.0-r1.apk | 60.4 KiB | 2024-Oct-25 19:02 |
py3-aiodocker-0.21.0-r1.apk | 29.3 KiB | 2024-Oct-25 19:02 |
py3-agithub-pyc-2.2.2-r6.apk | 21.6 KiB | 2024-Oct-25 19:02 |
py3-agithub-2.2.2-r6.apk | 18.5 KiB | 2024-Oct-25 19:02 |
py3-aesedb-pyc-0.1.6-r2.apk | 75.7 KiB | 2024-Oct-25 19:02 |
py3-aesedb-0.1.6-r2.apk | 37.2 KiB | 2024-Oct-25 19:02 |
py3-actdiag-pyc-3.0.0-r5.apk | 21.5 KiB | 2024-Oct-25 19:02 |
py3-actdiag-3.0.0-r5.apk | 17.3 KiB | 2024-Oct-25 19:02 |
py-spy-zsh-completion-0.3.14-r3.apk | 3.1 KiB | 2024-Oct-25 19:02 |
py-spy-fish-completion-0.3.14-r3.apk | 2.6 KiB | 2024-Oct-25 19:02 |
py-spy-doc-0.3.14-r3.apk | 2.3 KiB | 2024-Oct-25 19:02 |
py-spy-bash-completion-0.3.14-r3.apk | 2.4 KiB | 2024-Oct-25 19:02 |
py-spy-0.3.14-r3.apk | 1.0 MiB | 2024-Oct-25 19:02 |
pxmenu-1.0.0-r1.apk | 2.9 KiB | 2024-Oct-25 19:02 |
pxalarm-3.0.0-r0.apk | 2.9 KiB | 2024-Oct-25 19:02 |
pwru-1.0.7-r0.apk | 3.3 MiB | 2024-Oct-25 19:02 |
pwauth-doc-2.3.11-r2.apk | 6.8 KiB | 2024-Oct-25 19:02 |
pwauth-2.3.11-r2.apk | 3.8 KiB | 2024-Oct-25 19:02 |
pw-volume-0.5.0-r1.apk | 322.1 KiB | 2024-Oct-25 19:02 |
purple-hangouts-0_git20200422-r0.apk | 227.5 KiB | 2024-Oct-25 19:02 |
purple-facebook-0.9.6-r0.apk | 77.5 KiB | 2024-Oct-25 19:02 |
pure-data-libs-0.54.1-r0.apk | 621.4 KiB | 2024-Oct-25 19:02 |
pure-data-doc-0.54.1-r0.apk | 1.9 MiB | 2024-Oct-25 19:02 |
pure-data-dev-0.54.1-r0.apk | 50.5 KiB | 2024-Oct-25 19:02 |
pure-data-0.54.1-r0.apk | 1.7 MiB | 2024-Oct-25 19:02 |
pulumi-watch-0.1.5-r2.apk | 814.9 KiB | 2024-Oct-25 19:02 |
pulseview-doc-0.4.2-r8.apk | 3.7 KiB | 2024-Oct-25 19:02 |
pulseview-0.4.2-r8.apk | 941.5 KiB | 2024-Oct-25 19:02 |
pulsar-client-cpp-dev-3.1.2-r4.apk | 54.6 KiB | 2024-Oct-25 19:02 |
pulsar-client-cpp-3.1.2-r4.apk | 1.2 MiB | 2024-Oct-25 19:02 |
pully-openrc-1.0.0-r0.apk | 1.7 KiB | 2024-Oct-25 19:02 |
pully-1.0.0-r0.apk | 2.5 KiB | 2024-Oct-25 19:02 |
ptyxis-lang-47.6-r0.apk | 239.1 KiB | 2024-Dec-06 23:00 |
ptyxis-doc-47.6-r0.apk | 2.9 KiB | 2024-Dec-06 23:00 |
ptyxis-47.6-r0.apk | 263.3 KiB | 2024-Dec-06 23:00 |
ptylie-doc-0.2-r1.apk | 3.2 KiB | 2024-Oct-25 19:02 |
ptylie-0.2-r1.apk | 11.4 KiB | 2024-Oct-25 19:02 |
ptpd-openrc-2.3.1-r1.apk | 2.4 KiB | 2024-Oct-25 19:02 |
ptpd-doc-2.3.1-r1.apk | 20.3 KiB | 2024-Oct-25 19:02 |
ptpd-2.3.1-r1.apk | 172.4 KiB | 2024-Oct-25 19:02 |
ptcpdump-0.30.0-r0.apk | 10.6 MiB | 2024-Dec-16 10:18 |
psst-0_git20240526-r1.apk | 7.7 MiB | 2024-Oct-25 19:02 |
pspp-doc-2.0.1-r0.apk | 9.0 KiB | 2024-Oct-25 19:02 |
pspp-dbg-2.0.1-r0.apk | 4.3 MiB | 2024-Oct-25 19:02 |
pspp-2.0.1-r0.apk | 9.9 MiB | 2024-Oct-25 19:02 |
psi-plus-plugins-1.5.1965-r0.apk | 1.7 MiB | 2024-Oct-25 19:02 |
psi-plus-1.5.1965-r0.apk | 8.6 MiB | 2024-Oct-25 19:02 |
psi-notify-1.3.1-r0.apk | 10.6 KiB | 2024-Oct-25 19:02 |
psftools-doc-1.1.2-r0.apk | 59.6 KiB | 2024-Oct-25 19:02 |
psftools-dev-1.1.2-r0.apk | 78.3 KiB | 2024-Oct-25 19:02 |
psftools-1.1.2-r0.apk | 190.5 KiB | 2024-Oct-25 19:02 |
prowlarr-openrc-1.27.0.4852-r0.apk | 2.0 KiB | 2024-Dec-02 23:37 |
prowlarr-1.27.0.4852-r0.apk | 15.9 MiB | 2024-Dec-02 23:37 |
protoconf-0.1.7-r8.apk | 7.5 MiB | 2024-Oct-25 19:02 |
protoc-gen-js-3.21.4-r1.apk | 1.5 MiB | 2024-Oct-25 19:02 |
protoc-gen-go-1.35.2-r0.apk | 1.9 MiB | 2024-Dec-14 18:10 |
prosody-modules-0.11_hg20201208-r0.apk | 1.5 KiB | 2024-Oct-25 19:02 |
prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-Oct-25 19:02 |
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.7 KiB | 2024-Oct-25 19:02 |
prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 19:02 |
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 19:02 |
prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.6 KiB | 2024-Oct-25 19:02 |
prosody-mod-server_status-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.6 KiB | 2024-Oct-25 19:02 |
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> | 2.0 KiB | 2024-Oct-25 19:02 |
prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.7 KiB | 2024-Oct-25 19:02 |
prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 19:02 |
prosody-mod-register_redirect-0.11_hg20201208-r..> | 2.7 KiB | 2024-Oct-25 19:02 |
prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.5 KiB | 2024-Oct-25 19:02 |
prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> | 6.9 KiB | 2024-Oct-25 19:02 |
prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.6 KiB | 2024-Oct-25 19:02 |
prosody-mod-mam-0.11_hg20201208-r0.apk | 5.9 KiB | 2024-Oct-25 19:02 |
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 19:02 |
prosody-mod-http_upload_external-0.11_hg2020120..> | 2.9 KiB | 2024-Oct-25 19:02 |
prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.3 KiB | 2024-Oct-25 19:02 |
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.2 KiB | 2024-Oct-25 19:02 |
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> | 2.0 KiB | 2024-Oct-25 19:02 |
prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-Oct-25 19:02 |
prosody-mod-block_registrations-0.11_hg20201208..> | 1.8 KiB | 2024-Oct-25 19:02 |
prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-Oct-25 19:02 |
prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
proot-static-5.4.0-r1.apk | 110.6 KiB | 2024-Oct-25 19:02 |
proot-doc-5.4.0-r1.apk | 10.3 KiB | 2024-Oct-25 19:02 |
proot-5.4.0-r1.apk | 74.8 KiB | 2024-Oct-25 19:02 |
prometheus-unbound-exporter-openrc-0.4.6-r0.apk | 2.0 KiB | 2024-Oct-25 19:02 |
prometheus-unbound-exporter-0.4.6-r0.apk | 3.4 MiB | 2024-Oct-25 19:02 |
prometheus-smokeping-prober-openrc-0.7.1-r7.apk | 2.0 KiB | 2024-Oct-25 19:02 |
prometheus-smokeping-prober-0.7.1-r7.apk | 4.3 MiB | 2024-Oct-25 19:02 |
prometheus-smartctl-exporter-openrc-0.13.0-r0.apk | 1.8 KiB | 2024-Dec-20 16:37 |
prometheus-smartctl-exporter-0.13.0-r0.apk | 4.5 MiB | 2024-Dec-20 16:37 |
prometheus-rethinkdb-exporter-openrc-1.0.1-r23.apk | 1.7 KiB | 2024-Oct-25 19:02 |
prometheus-rethinkdb-exporter-1.0.1-r23.apk | 3.9 MiB | 2024-Oct-25 19:02 |
prometheus-podman-exporter-1.13.3-r0.apk | 15.0 MiB | 2024-Nov-21 02:45 |
prometheus-opnsense-exporter-openrc-0.0.5-r0.apk | 2.1 KiB | 2024-Oct-25 19:02 |
prometheus-opnsense-exporter-0.0.5-r0.apk | 4.4 MiB | 2024-Oct-25 19:02 |
prometheus-ipmi-exporter-openrc-1.8.0-r0.apk | 2.0 KiB | 2024-Oct-25 19:02 |
prometheus-ipmi-exporter-doc-1.8.0-r0.apk | 6.6 KiB | 2024-Oct-25 19:02 |
prometheus-ipmi-exporter-1.8.0-r0.apk | 4.2 MiB | 2024-Oct-25 19:02 |
prometheus-ceph-exporter-openrc-4.2.4-r1.apk | 1.8 KiB | 2024-Oct-25 19:02 |
prometheus-ceph-exporter-4.2.4-r1.apk | 3.5 MiB | 2024-Oct-25 19:02 |
prometheus-bind-exporter-openrc-0.7.0-r6.apk | 1.9 KiB | 2024-Oct-25 19:02 |
prometheus-bind-exporter-0.7.0-r6.apk | 4.4 MiB | 2024-Oct-25 19:02 |
projectsandcastle-loader-0_git20200307-r1.apk | 5.0 KiB | 2024-Oct-25 19:02 |
projectm-sdl-3.1.12-r2.apk | 316.1 KiB | 2024-Oct-25 19:02 |
projectm-pulseaudio-doc-3.1.12-r2.apk | 2.0 KiB | 2024-Oct-25 19:02 |
projectm-pulseaudio-3.1.12-r2.apk | 402.5 KiB | 2024-Oct-25 19:02 |
projectm-presets-3.1.12-r2.apk | 4.3 MiB | 2024-Oct-25 19:02 |
projectm-dev-3.1.12-r2.apk | 605.6 KiB | 2024-Oct-25 19:02 |
projectm-3.1.12-r2.apk | 432.7 KiB | 2024-Oct-25 19:02 |
prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.7 KiB | 2024-Oct-25 19:02 |
prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-Oct-25 19:02 |
prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.4 KiB | 2024-Oct-25 19:02 |
prjtrellis-db-machxo-0_git20230929-r0.apk | 39.2 KiB | 2024-Oct-25 19:02 |
prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-Oct-25 19:02 |
prjtrellis-db-0_git20230929-r0.apk | 3.3 KiB | 2024-Oct-25 19:02 |
prjtrellis-1.4-r2.apk | 1.2 MiB | 2024-Oct-25 19:02 |
primesieve-libs-12.6-r0.apk | 116.8 KiB | 2024-Dec-14 18:12 |
primesieve-doc-12.6-r0.apk | 4.0 KiB | 2024-Dec-14 18:12 |
primesieve-dev-12.6-r0.apk | 1.3 MiB | 2024-Dec-14 18:12 |
primesieve-12.6-r0.apk | 43.4 KiB | 2024-Dec-14 18:12 |
primecount-libs-7.14-r0.apk | 138.7 KiB | 2024-Oct-25 19:02 |
primecount-doc-7.14-r0.apk | 3.9 KiB | 2024-Oct-25 19:02 |
primecount-dev-7.14-r0.apk | 2.1 MiB | 2024-Oct-25 19:02 |
primecount-7.14-r0.apk | 28.6 KiB | 2024-Oct-25 19:02 |
prettier-doc-3.4.2-r0.apk | 20.7 KiB | 2024-Dec-10 08:58 |
prettier-3.4.2-r0.apk | 1.9 MiB | 2024-Dec-10 08:58 |
predict-doc-2.3.1-r0.apk | 16.1 KiB | 2024-Nov-24 10:45 |
predict-2.3.1-r0.apk | 99.9 KiB | 2024-Nov-24 10:45 |
pqiv-doc-2.12-r1.apk | 12.0 KiB | 2024-Oct-25 19:02 |
pqiv-2.12-r1.apk | 66.6 KiB | 2024-Oct-25 19:02 |
pptpclient-doc-1.10.0-r5.apk | 7.2 KiB | 2024-Oct-25 19:02 |
pptpclient-1.10.0-r5.apk | 32.0 KiB | 2024-Oct-25 19:02 |
ppl-doc-1.2-r1.apk | 9.1 MiB | 2024-Oct-25 19:02 |
ppl-dev-1.2-r1.apk | 607.1 KiB | 2024-Oct-25 19:02 |
ppl-1.2-r1.apk | 38.6 KiB | 2024-Oct-25 19:02 |
powerstat-doc-0.04.01-r0.apk | 4.3 KiB | 2024-Oct-25 19:02 |
powerstat-bash-completion-0.04.01-r0.apk | 2.3 KiB | 2024-Oct-25 19:02 |
powerstat-0.04.01-r0.apk | 19.5 KiB | 2024-Oct-25 19:02 |
powerctl-doc-1.1-r5.apk | 3.2 KiB | 2024-Oct-25 19:02 |
powerctl-1.1-r5.apk | 89.4 KiB | 2024-Oct-25 19:02 |
powder-toy-97.0.352-r1.apk | 816.3 KiB | 2024-Oct-25 19:02 |
pounce-openrc-3.1-r3.apk | 2.9 KiB | 2024-Oct-25 19:02 |
pounce-doc-3.1-r3.apk | 8.6 KiB | 2024-Oct-25 19:02 |
pounce-3.1-r3.apk | 27.9 KiB | 2024-Oct-25 19:02 |
postgresql16-wal2json-2.6-r0.apk | 70.0 KiB | 2024-Oct-25 19:02 |
postgresql-pgmq-1.1.1-r1.apk | 259.6 KiB | 2024-Oct-25 19:02 |
postgresql-pg_variables-bitcode-1.2.5_git202309..> | 52.7 KiB | 2024-Oct-25 19:02 |
postgresql-pg_variables-1.2.5_git20230922-r0.apk | 23.3 KiB | 2024-Oct-25 19:02 |
postgresql-pg_partman-scripts-5.0.0-r0.apk | 7.7 KiB | 2024-Oct-25 19:02 |
postgresql-pg_partman-doc-5.0.0-r0.apk | 47.4 KiB | 2024-Oct-25 19:02 |
postgresql-pg_partman-bitcode-5.0.0-r0.apk | 22.0 KiB | 2024-Oct-25 19:02 |
postgresql-pg_partman-5.0.0-r0.apk | 970.6 KiB | 2024-Oct-25 19:02 |
postgresql-pg_later-0.0.14-r1.apk | 610.3 KiB | 2024-Oct-25 19:02 |
postgresql-pg_graphql-1.4.2-r0.apk | 574.8 KiB | 2024-Oct-25 19:02 |
postgresql-hll-bitcode-2.18-r0.apk | 56.0 KiB | 2024-Oct-25 19:02 |
postgresql-hll-2.18-r0.apk | 26.3 KiB | 2024-Oct-25 19:02 |
portsmf-dev-239-r1.apk | 20.3 KiB | 2024-Oct-25 19:02 |
portsmf-239-r1.apk | 55.9 KiB | 2024-Oct-25 19:02 |
porla-openrc-0.41.0-r1.apk | 2.7 KiB | 2024-Dec-05 21:07 |
porla-doc-0.41.0-r1.apk | 2.2 KiB | 2024-Dec-05 21:07 |
porla-0.41.0-r1.apk | 3.7 MiB | 2024-Dec-05 21:07 |
popeye-0.21.5-r0.apk | 26.6 MiB | 2024-Oct-25 19:02 |
pongoos-loader-0_git20210704-r1.apk | 2.4 KiB | 2024-Oct-25 19:02 |
pomo-doc-0.8.1-r18.apk | 2.7 KiB | 2024-Oct-25 19:02 |
pomo-0.8.1-r18.apk | 1.5 MiB | 2024-Oct-25 19:02 |
polyglot-doc-2.0.4-r1.apk | 47.6 KiB | 2024-Oct-25 19:02 |
polyglot-2.0.4-r1.apk | 63.7 KiB | 2024-Oct-25 19:02 |
polycule-0.0.3-r2.apk | 22.6 MiB | 2024-Dec-14 22:29 |
policycoreutils-lang-3.6-r1.apk | 105.4 KiB | 2024-Oct-25 19:02 |
policycoreutils-doc-3.6-r1.apk | 22.3 KiB | 2024-Oct-25 19:02 |
policycoreutils-bash-completion-3.6-r1.apk | 2.4 KiB | 2024-Oct-25 19:02 |
policycoreutils-3.6-r1.apk | 53.5 KiB | 2024-Oct-25 19:02 |
pokoy-doc-0.2.5-r0.apk | 3.0 KiB | 2024-Oct-25 19:02 |
pokoy-0.2.5-r0.apk | 11.2 KiB | 2024-Oct-25 19:02 |
poke-doc-4.2-r0.apk | 200.6 KiB | 2024-Oct-25 19:02 |
poke-4.2-r0.apk | 1.2 MiB | 2024-Oct-25 19:02 |
pnmixer-lang-0.7.2-r3.apk | 24.8 KiB | 2024-Oct-25 19:02 |
pnmixer-doc-0.7.2-r3.apk | 2.3 KiB | 2024-Oct-25 19:02 |
pnmixer-0.7.2-r3.apk | 140.8 KiB | 2024-Oct-25 19:02 |
pmccabe-doc-2.8-r1.apk | 7.1 KiB | 2024-Oct-25 19:02 |
pmccabe-2.8-r1.apk | 23.3 KiB | 2024-Oct-25 19:02 |
plzip-doc-1.11-r1.apk | 16.2 KiB | 2024-Oct-25 19:02 |
plzip-1.11-r1.apk | 45.6 KiB | 2024-Oct-25 19:02 |
plplot-libs-5.15.0-r2.apk | 199.6 KiB | 2024-Oct-25 19:02 |
plplot-doc-5.15.0-r2.apk | 310.6 KiB | 2024-Oct-25 19:02 |
plplot-dev-5.15.0-r2.apk | 59.0 KiB | 2024-Oct-25 19:02 |
plplot-5.15.0-r2.apk | 31.3 KiB | 2024-Oct-25 19:02 |
plots-0.7.0-r1.apk | 515.7 KiB | 2024-Nov-06 09:41 |
plib-1.8.5-r3.apk | 848.9 KiB | 2024-Oct-25 19:02 |
plfit-static-1.0.0-r0.apk | 41.5 KiB | 2024-Nov-22 04:34 |
plfit-libs-1.0.0-r0.apk | 35.3 KiB | 2024-Nov-22 04:34 |
plfit-dev-1.0.0-r0.apk | 6.5 KiB | 2024-Nov-22 04:34 |
plfit-1.0.0-r0.apk | 12.0 KiB | 2024-Nov-22 04:34 |
please-doc-0.5.5-r0.apk | 15.8 KiB | 2024-Oct-25 19:02 |
please-build-zsh-completion-17.12.7-r0.apk | 1.9 KiB | 2024-Dec-14 16:39 |
please-build-tools-17.12.7-r0.apk | 10.8 MiB | 2024-Dec-14 16:39 |
please-build-bash-completion-17.12.7-r0.apk | 1.9 KiB | 2024-Dec-14 16:39 |
please-build-17.12.7-r0.apk | 7.3 MiB | 2024-Dec-14 16:39 |
please-0.5.5-r0.apk | 1023.4 KiB | 2024-Oct-25 19:02 |
platformio-core-pyc-6.1.7-r3.apk | 551.8 KiB | 2024-Oct-25 19:02 |
platformio-core-6.1.7-r3.apk | 262.5 KiB | 2024-Oct-25 19:02 |
planner-lang-0.14.92-r1.apk | 824.6 KiB | 2024-Dec-08 21:48 |
planner-doc-0.14.92-r1.apk | 2.2 KiB | 2024-Dec-08 21:48 |
planner-0.14.92-r1.apk | 344.6 KiB | 2024-Dec-08 21:48 |
planarity-libs-3.0.2.0-r2.apk | 69.0 KiB | 2024-Oct-25 19:02 |
planarity-doc-3.0.2.0-r2.apk | 12.9 KiB | 2024-Oct-25 19:02 |
planarity-dev-3.0.2.0-r2.apk | 19.3 KiB | 2024-Oct-25 19:02 |
planarity-3.0.2.0-r2.apk | 9.0 KiB | 2024-Oct-25 19:02 |
pixiewps-doc-1.4.2-r1.apk | 3.4 KiB | 2024-Oct-25 19:02 |
pixiewps-1.4.2-r1.apk | 39.6 KiB | 2024-Oct-25 19:02 |
pixi-zsh-completion-0.24.2-r0.apk | 10.4 KiB | 2024-Oct-25 19:02 |
pixi-fish-completion-0.24.2-r0.apk | 10.1 KiB | 2024-Oct-25 19:02 |
pixi-doc-0.24.2-r0.apk | 6.9 KiB | 2024-Oct-25 19:02 |
pixi-bash-completion-0.24.2-r0.apk | 7.2 KiB | 2024-Oct-25 19:02 |
pixi-0.24.2-r0.apk | 8.8 MiB | 2024-Oct-25 19:02 |
pitivi-pyc-2023.03-r1.apk | 703.7 KiB | 2024-Oct-25 19:02 |
pitivi-lang-2023.03-r1.apk | 679.9 KiB | 2024-Oct-25 19:02 |
pitivi-2023.03-r1.apk | 2.2 MiB | 2024-Oct-25 19:02 |
pithos-pyc-1.6.1-r0.apk | 154.3 KiB | 2024-Oct-25 19:02 |
pithos-doc-1.6.1-r0.apk | 2.1 KiB | 2024-Oct-25 19:02 |
pithos-1.6.1-r0.apk | 104.5 KiB | 2024-Oct-25 19:02 |
piping-server-openrc-0.18.0-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
piping-server-0.18.0-r0.apk | 1.5 MiB | 2024-Oct-25 19:02 |
piper-tts-dev-2023.11.14.2-r9.apk | 141.0 KiB | 2024-Dec-18 12:39 |
piper-tts-2023.11.14.2-r9.apk | 131.5 KiB | 2024-Dec-18 12:39 |
piper-phonemize-libs-2023.11.14.4-r5.apk | 68.4 KiB | 2024-Oct-25 19:02 |
piper-phonemize-dev-2023.11.14.4-r5.apk | 394.5 KiB | 2024-Oct-25 19:02 |
piper-phonemize-2023.11.14.4-r5.apk | 9.0 MiB | 2024-Oct-25 19:02 |
pipeline-lang-2.1.0-r0.apk | 58.7 KiB | 2024-Dec-07 03:53 |
pipeline-doc-2.1.0-r0.apk | 13.5 KiB | 2024-Dec-07 03:53 |
pipeline-2.1.0-r0.apk | 1.7 MiB | 2024-Dec-07 03:53 |
pipectl-doc-0.4.1-r1.apk | 3.0 KiB | 2024-Oct-25 19:02 |
pipectl-0.4.1-r1.apk | 5.9 KiB | 2024-Oct-25 19:02 |
pinentry-bemenu-0.13.1-r0.apk | 8.1 KiB | 2024-Oct-25 19:02 |
pimd-openrc-3.0_git20220201-r0.apk | 1.6 KiB | 2024-Oct-25 19:02 |
pimd-doc-3.0_git20220201-r0.apk | 34.8 KiB | 2024-Oct-25 19:02 |
pimd-dense-openrc-2.1.0-r0.apk | 1.9 KiB | 2024-Oct-25 19:02 |
pimd-dense-doc-2.1.0-r0.apk | 19.7 KiB | 2024-Oct-25 19:02 |
pimd-dense-2.1.0-r0.apk | 53.3 KiB | 2024-Oct-25 19:02 |
pimd-3.0_git20220201-r0.apk | 85.7 KiB | 2024-Oct-25 19:02 |
piler-openrc-1.4.6-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
piler-1.4.6-r0.apk | 2.1 MiB | 2024-Oct-25 19:02 |
piglit-0_git20241106-r0.apk | 88.6 MiB | 2024-Nov-08 09:55 |
pidif-0.1-r1.apk | 159.3 KiB | 2024-Oct-25 19:02 |
pict-rs-openrc-0.5.16-r1.apk | 1.9 KiB | 2024-Oct-25 19:02 |
pict-rs-0.5.16-r1.apk | 5.9 MiB | 2024-Oct-25 19:02 |
pick-doc-4.0.0-r0.apk | 3.3 KiB | 2024-Oct-25 19:02 |
pick-4.0.0-r0.apk | 9.9 KiB | 2024-Oct-25 19:02 |
phpactor-2024.06.30.0-r0.apk | 3.8 MiB | 2024-Oct-25 19:02 |
php84-pecl-uv-0.3.0-r0.apk | 48.9 KiB | 2024-Oct-28 12:47 |
php84-pecl-oauth-2.0.9-r0.apk | 34.3 KiB | 2024-Oct-25 19:02 |
php84-pecl-ev-1.2.0-r1.apk | 39.8 KiB | 2024-Oct-25 19:02 |
php83-pecl-zmq-1.1.4-r0.apk | 30.5 KiB | 2024-Oct-25 19:02 |
php83-pecl-vld-0.18.0-r1.apk | 14.9 KiB | 2024-Oct-25 19:02 |
php83-pecl-uv-0.3.0-r0.apk | 48.8 KiB | 2024-Oct-25 19:02 |
php83-pecl-phpy-1.0.8-r0.apk | 38.2 KiB | 2024-Oct-25 19:02 |
php83-pecl-oauth-2.0.9-r0.apk | 34.3 KiB | 2024-Oct-25 19:02 |
php83-pecl-jsmin-3.0.0-r0.apk | 10.2 KiB | 2024-Oct-25 19:02 |
php83-pecl-excimer-1.2.3-r0.apk | 19.4 KiB | 2024-Dec-04 01:54 |
php83-pecl-ev-1.2.0-r0.apk | 39.7 KiB | 2024-Oct-25 19:02 |
php83-pecl-eio-3.1.3-r0.apk | 27.5 KiB | 2024-Oct-25 19:02 |
php83-pecl-apfd-1.0.3-r0.apk | 4.3 KiB | 2024-Oct-25 19:02 |
php82-snappy-0.2.1-r1.apk | 5.3 KiB | 2024-Oct-25 19:02 |
php82-pecl-zephir_parser-1.7.0-r0.apk | 60.7 KiB | 2024-Nov-24 17:30 |
php82-pecl-vld-0.18.0-r0.apk | 16.6 KiB | 2024-Oct-25 19:02 |
php82-pecl-teds-1.3.0-r0.apk | 122.8 KiB | 2024-Oct-25 19:02 |
php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 29.3 KiB | 2024-Oct-25 19:02 |
php82-pecl-oauth-2.0.9-r0.apk | 34.2 KiB | 2024-Oct-25 19:02 |
php82-pecl-jsmin-3.0.0-r0.apk | 10.2 KiB | 2024-Oct-25 19:02 |
php82-pecl-immutable_cache-6.1.0-r0.apk | 40.1 KiB | 2024-Oct-25 19:02 |
php82-pecl-excimer-1.2.3-r0.apk | 19.3 KiB | 2024-Dec-04 01:54 |
php82-pecl-apfd-1.0.3-r0.apk | 4.3 KiB | 2024-Oct-25 19:02 |
php82-pdlib-1.1.0-r1.apk | 483.3 KiB | 2024-Oct-25 19:02 |
php81-zip-8.1.31-r0.apk | 24.2 KiB | 2024-Nov-20 02:41 |
php81-xsl-8.1.31-r0.apk | 12.5 KiB | 2024-Nov-20 02:41 |
php81-xmlwriter-8.1.31-r0.apk | 11.1 KiB | 2024-Nov-20 02:41 |
php81-xmlreader-8.1.31-r0.apk | 12.7 KiB | 2024-Nov-20 02:41 |
php81-xml-8.1.31-r0.apk | 18.3 KiB | 2024-Nov-20 02:41 |
php81-tokenizer-8.1.31-r0.apk | 11.0 KiB | 2024-Nov-20 02:41 |
php81-tidy-8.1.31-r0.apk | 17.7 KiB | 2024-Nov-20 02:41 |
php81-tideways_xhprof-5.0.4-r1.apk | 12.9 KiB | 2024-Oct-25 19:02 |
php81-sysvshm-8.1.31-r0.apk | 6.4 KiB | 2024-Nov-20 02:41 |
php81-sysvsem-8.1.31-r0.apk | 5.5 KiB | 2024-Nov-20 02:41 |
php81-sysvmsg-8.1.31-r0.apk | 7.3 KiB | 2024-Nov-20 02:41 |
php81-sqlite3-8.1.31-r0.apk | 19.9 KiB | 2024-Nov-20 02:41 |
php81-spx-0.4.17-r0.apk | 106.6 KiB | 2024-Oct-25 19:02 |
php81-sodium-8.1.31-r0.apk | 25.3 KiB | 2024-Nov-20 02:41 |
php81-sockets-8.1.31-r0.apk | 34.1 KiB | 2024-Nov-20 02:41 |
php81-soap-8.1.31-r0.apk | 133.5 KiB | 2024-Nov-20 02:41 |
php81-snmp-8.1.31-r0.apk | 19.9 KiB | 2024-Nov-20 02:41 |
php81-simplexml-8.1.31-r0.apk | 22.0 KiB | 2024-Nov-20 02:41 |
php81-shmop-8.1.31-r0.apk | 5.8 KiB | 2024-Nov-20 02:41 |
php81-session-8.1.31-r0.apk | 35.3 KiB | 2024-Nov-20 02:41 |
php81-pspell-8.1.31-r0.apk | 7.8 KiB | 2024-Nov-20 02:41 |
php81-posix-8.1.31-r0.apk | 10.6 KiB | 2024-Nov-20 02:41 |
php81-phpdbg-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 02:41 |
php81-phar-8.1.31-r0.apk | 119.9 KiB | 2024-Nov-20 02:41 |
php81-pgsql-8.1.31-r0.apk | 43.0 KiB | 2024-Nov-20 02:41 |
php81-pecl-zstd-0.14.0-r0.apk | 13.6 KiB | 2024-Nov-06 14:44 |
php81-pecl-zephir_parser-1.7.0-r0.apk | 60.7 KiB | 2024-Nov-24 17:30 |
php81-pecl-yaml-2.2.4-r0.apk | 17.8 KiB | 2024-Oct-25 19:02 |
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 35.1 KiB | 2024-Oct-25 19:02 |
php81-pecl-xlswriter-1.5.8-r0.apk | 221.5 KiB | 2024-Nov-11 01:44 |
php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.6 KiB | 2024-Oct-25 19:02 |
php81-pecl-xhprof-2.3.10-r0.apk | 12.1 KiB | 2024-Oct-25 19:02 |
php81-pecl-xdebug-3.4.0-r0.apk | 143.9 KiB | 2024-Nov-29 00:08 |
php81-pecl-vips-1.0.13-r0.apk | 15.6 KiB | 2024-Oct-25 19:02 |
php81-pecl-uuid-1.2.1-r0.apk | 6.2 KiB | 2024-Oct-25 19:02 |
php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.9 KiB | 2024-Oct-25 19:02 |
php81-pecl-uploadprogress-2.0.2-r1.apk | 6.4 KiB | 2024-Oct-25 19:02 |
php81-pecl-timezonedb-2024.2-r0.apk | 188.3 KiB | 2024-Oct-25 19:02 |
php81-pecl-swoole-dev-6.0.0-r0.apk | 183.2 KiB | 2024-Dec-17 07:42 |
php81-pecl-swoole-6.0.0-r0.apk | 876.4 KiB | 2024-Dec-17 07:42 |
php81-pecl-ssh2-1.4.1-r0.apk | 26.7 KiB | 2024-Oct-25 19:02 |
php81-pecl-smbclient-1.2.0_pre-r0.apk | 20.1 KiB | 2024-Dec-10 19:02 |
php81-pecl-redis-6.1.0-r0.apk | 189.2 KiB | 2024-Oct-25 19:02 |
php81-pecl-rdkafka-6.0.5-r0.apk | 35.2 KiB | 2024-Nov-04 12:52 |
php81-pecl-psr-1.2.0-r0.apk | 16.6 KiB | 2024-Oct-25 19:02 |
php81-pecl-protobuf-4.29.0-r0.apk | 137.4 KiB | 2024-Nov-28 11:07 |
php81-pecl-pcov-1.0.12-r0.apk | 9.1 KiB | 2024-Dec-04 17:17 |
php81-pecl-opentelemetry-1.1.0-r0.apk | 12.2 KiB | 2024-Oct-25 19:02 |
php81-pecl-oauth-2.0.9-r0.apk | 34.1 KiB | 2024-Oct-25 19:02 |
php81-pecl-msgpack-3.0.0-r0.apk | 26.1 KiB | 2024-Oct-25 19:02 |
php81-pecl-mongodb-1.20.1-r0.apk | 814.5 KiB | 2024-Nov-27 17:26 |
php81-pecl-memprof-3.0.2-r0.apk | 13.5 KiB | 2024-Oct-25 19:02 |
php81-pecl-memcached-3.3.0-r0.apk | 45.0 KiB | 2024-Oct-25 19:02 |
php81-pecl-memcache-8.2-r1.apk | 40.9 KiB | 2024-Oct-25 19:02 |
php81-pecl-mcrypt-1.0.7-r0.apk | 14.3 KiB | 2024-Oct-25 19:02 |
php81-pecl-maxminddb-1.12.0-r0.apk | 7.7 KiB | 2024-Nov-15 17:11 |
php81-pecl-mailparse-3.1.8-r0.apk | 22.5 KiB | 2024-Oct-25 19:02 |
php81-pecl-lzf-1.7.0-r0.apk | 7.0 KiB | 2024-Oct-25 19:02 |
php81-pecl-luasandbox-4.1.2-r0.apk | 28.8 KiB | 2024-Oct-25 19:02 |
php81-pecl-jsmin-3.0.0-r0.apk | 10.2 KiB | 2024-Oct-25 19:02 |
php81-pecl-immutable_cache-6.1.0-r0.apk | 39.9 KiB | 2024-Oct-25 19:02 |
php81-pecl-imagick-dev-3.7.0-r5.apk | 2.3 KiB | 2024-Oct-25 19:02 |
php81-pecl-imagick-3.7.0-r5.apk | 101.2 KiB | 2024-Oct-25 19:02 |
php81-pecl-igbinary-3.2.16-r0.apk | 32.5 KiB | 2024-Oct-25 19:02 |
php81-pecl-grpc-1.68.0-r0.apk | 4.7 MiB | 2024-Nov-19 12:10 |
php81-pecl-event-3.1.4-r0.apk | 48.0 KiB | 2024-Oct-25 19:02 |
php81-pecl-ds-1.5.0-r0.apk | 55.4 KiB | 2024-Oct-25 19:02 |
php81-pecl-decimal-1.5.0-r1.apk | 17.5 KiB | 2024-Oct-25 19:02 |
php81-pecl-csv-0.4.2-r0.apk | 10.1 KiB | 2024-Oct-25 19:02 |
php81-pecl-couchbase-4.2.5-r0.apk | 4.9 MiB | 2024-Nov-24 17:30 |
php81-pecl-brotli-0.15.2-r0.apk | 11.2 KiB | 2024-Nov-09 01:40 |
php81-pecl-ast-1.1.2-r0.apk | 18.6 KiB | 2024-Oct-25 19:02 |
php81-pecl-apcu-5.1.24-r0.apk | 54.0 KiB | 2024-Oct-25 19:02 |
php81-pecl-amqp-2.1.2-r0.apk | 52.6 KiB | 2024-Oct-25 19:02 |
php81-pear-8.1.31-r0.apk | 337.9 KiB | 2024-Nov-20 02:41 |
php81-pdo_sqlite-8.1.31-r0.apk | 12.2 KiB | 2024-Nov-20 02:41 |
php81-pdo_pgsql-8.1.31-r0.apk | 18.5 KiB | 2024-Nov-20 02:41 |
php81-pdo_odbc-8.1.31-r0.apk | 12.1 KiB | 2024-Nov-20 02:41 |
php81-pdo_mysql-8.1.31-r0.apk | 12.7 KiB | 2024-Nov-20 02:41 |
php81-pdo_dblib-8.1.31-r0.apk | 11.7 KiB | 2024-Nov-20 02:41 |
php81-pdo-8.1.31-r0.apk | 39.5 KiB | 2024-Nov-20 02:41 |
php81-pcntl-8.1.31-r0.apk | 12.6 KiB | 2024-Nov-20 02:41 |
php81-openssl-8.1.31-r0.apk | 70.1 KiB | 2024-Nov-20 02:41 |
php81-opcache-8.1.31-r0.apk | 366.4 KiB | 2024-Nov-20 02:41 |
php81-odbc-8.1.31-r0.apk | 22.6 KiB | 2024-Nov-20 02:41 |
php81-mysqlnd-8.1.31-r0.apk | 77.0 KiB | 2024-Nov-20 02:41 |
php81-mysqli-8.1.31-r0.apk | 39.1 KiB | 2024-Nov-20 02:41 |
php81-mbstring-8.1.31-r0.apk | 568.3 KiB | 2024-Nov-20 02:41 |
php81-litespeed-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 02:41 |
php81-ldap-8.1.31-r0.apk | 30.0 KiB | 2024-Nov-20 02:41 |
php81-intl-8.1.31-r0.apk | 133.3 KiB | 2024-Nov-20 02:41 |
php81-imap-8.1.31-r0.apk | 32.0 KiB | 2024-Nov-20 02:41 |
php81-iconv-8.1.31-r0.apk | 17.1 KiB | 2024-Nov-20 02:41 |
php81-gmp-8.1.31-r0.apk | 20.0 KiB | 2024-Nov-20 02:41 |
php81-gettext-8.1.31-r0.apk | 5.6 KiB | 2024-Nov-20 02:41 |
php81-gd-8.1.31-r0.apk | 123.2 KiB | 2024-Nov-20 02:41 |
php81-ftp-8.1.31-r0.apk | 22.4 KiB | 2024-Nov-20 02:41 |
php81-fpm-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 02:41 |
php81-fileinfo-8.1.31-r0.apk | 377.5 KiB | 2024-Nov-20 02:41 |
php81-ffi-8.1.31-r0.apk | 66.2 KiB | 2024-Nov-20 02:41 |
php81-exif-8.1.31-r0.apk | 28.6 KiB | 2024-Nov-20 02:41 |
php81-enchant-8.1.31-r0.apk | 8.2 KiB | 2024-Nov-20 02:41 |
php81-embed-8.1.31-r0.apk | 1.7 MiB | 2024-Nov-20 02:41 |
php81-dom-8.1.31-r0.apk | 58.6 KiB | 2024-Nov-20 02:41 |
php81-doc-8.1.31-r0.apk | 67.6 KiB | 2024-Nov-20 02:41 |
php81-dev-8.1.31-r0.apk | 938.6 KiB | 2024-Nov-20 02:41 |
php81-dba-8.1.31-r0.apk | 22.0 KiB | 2024-Nov-20 02:41 |
php81-curl-8.1.31-r0.apk | 33.4 KiB | 2024-Nov-20 02:41 |
php81-ctype-8.1.31-r0.apk | 4.8 KiB | 2024-Nov-20 02:41 |
php81-common-8.1.31-r0.apk | 25.1 KiB | 2024-Nov-20 02:41 |
php81-cgi-8.1.31-r0.apk | 1.7 MiB | 2024-Nov-20 02:41 |
php81-calendar-8.1.31-r0.apk | 12.0 KiB | 2024-Nov-20 02:41 |
php81-bz2-8.1.31-r0.apk | 9.6 KiB | 2024-Nov-20 02:41 |
php81-bcmath-8.1.31-r0.apk | 17.2 KiB | 2024-Nov-20 02:41 |
php81-apache2-8.1.31-r0.apk | 1.7 MiB | 2024-Nov-20 02:41 |
php81-8.1.31-r0.apk | 1.8 MiB | 2024-Nov-20 02:41 |
phoronix-test-suite-doc-10.8.4-r2.apk | 287.5 KiB | 2024-Oct-25 19:02 |
phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.8 KiB | 2024-Oct-25 19:02 |
phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2024-Oct-25 19:02 |
pgcat-openrc-0.2.1-r0.apk | 1.9 KiB | 2024-Oct-25 19:02 |
pgcat-0.2.1-r0.apk | 2.6 MiB | 2024-Oct-25 19:02 |
pfetch-doc-1.6.0-r0.apk | 5.4 KiB | 2024-Nov-02 10:16 |
pfetch-1.6.0-r0.apk | 23.1 KiB | 2024-Nov-02 10:16 |
pest-language-server-0.3.9-r0.apk | 1.0 MiB | 2024-Oct-25 19:02 |
persistent-cache-cpp-doc-1.0.7-r2.apk | 3.2 KiB | 2024-Oct-25 19:02 |
persistent-cache-cpp-dev-1.0.7-r2.apk | 18.1 KiB | 2024-Oct-25 19:02 |
persistent-cache-cpp-1.0.7-r2.apk | 44.2 KiB | 2024-Oct-25 19:02 |
perl-xml-stream-doc-1.24-r0.apk | 17.7 KiB | 2024-Oct-25 19:02 |
perl-xml-stream-1.24-r0.apk | 44.0 KiB | 2024-Oct-25 19:02 |
perl-xml-rpc-doc-2.1-r0.apk | 4.9 KiB | 2024-Oct-25 19:02 |
perl-xml-rpc-2.1-r0.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5.4 KiB | 2024-Oct-25 19:02 |
perl-xml-parser-style-easytree-0.09-r0.apk | 5.0 KiB | 2024-Oct-25 19:02 |
perl-xml-feed-doc-0.65-r0.apk | 12.4 KiB | 2024-Oct-25 19:02 |
perl-xml-feed-0.65-r0.apk | 13.8 KiB | 2024-Oct-25 19:02 |
perl-xml-bare-doc-0.53-r13.apk | 11.4 KiB | 2024-Oct-25 19:02 |
perl-xml-bare-0.53-r13.apk | 28.1 KiB | 2024-Oct-25 19:02 |
perl-xml-atom-doc-0.43-r0.apk | 15.9 KiB | 2024-Oct-25 19:02 |
perl-xml-atom-0.43-r0.apk | 19.6 KiB | 2024-Oct-25 19:02 |
perl-x-tiny-doc-0.22-r0.apk | 7.6 KiB | 2024-Oct-25 19:02 |
perl-x-tiny-0.22-r0.apk | 6.9 KiB | 2024-Oct-25 19:02 |
perl-variable-disposition-doc-0.005-r0.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-variable-disposition-0.005-r0.apk | 3.3 KiB | 2024-Oct-25 19:02 |
perl-url-encode-doc-0.03-r4.apk | 4.7 KiB | 2024-Oct-25 19:02 |
perl-url-encode-0.03-r4.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-uri-tcp-doc-2.0.0-r0.apk | 5.0 KiB | 2024-Oct-25 19:02 |
perl-uri-tcp-2.0.0-r0.apk | 2.7 KiB | 2024-Oct-25 19:02 |
perl-uri-redis-doc-0.02-r0.apk | 4.6 KiB | 2024-Oct-25 19:02 |
perl-uri-redis-0.02-r0.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-uri-nested-doc-0.10-r0.apk | 3.9 KiB | 2024-Oct-25 19:02 |
perl-uri-nested-0.10-r0.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-uri-fetch-doc-0.15-r0.apk | 7.5 KiB | 2024-Oct-25 19:02 |
perl-uri-fetch-0.15-r0.apk | 7.1 KiB | 2024-Oct-25 19:02 |
perl-uri-db-doc-0.22-r0.apk | 8.4 KiB | 2024-Oct-25 19:02 |
perl-uri-db-0.22-r0.apk | 12.3 KiB | 2024-Oct-25 19:02 |
perl-types-path-tiny-doc-0.006-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-types-path-tiny-0.006-r0.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-time-timegm-doc-0.01-r9.apk | 3.8 KiB | 2024-Oct-25 19:02 |
perl-time-timegm-0.01-r9.apk | 6.5 KiB | 2024-Oct-25 19:02 |
perl-time-moment-role-timezone-doc-1.000-r0.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-time-moment-role-timezone-1.000-r0.apk | 3.6 KiB | 2024-Oct-25 19:02 |
perl-time-moment-role-strptime-doc-0.001-r0.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-time-moment-role-strptime-0.001-r0.apk | 2.7 KiB | 2024-Oct-25 19:02 |
perl-time-moment-doc-0.44-r0.apk | 30.9 KiB | 2024-Oct-25 19:02 |
perl-time-moment-0.44-r0.apk | 37.6 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.5 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-scrollbox-0.12-r0.apk | 8.0 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-menu-doc-0.16-r0.apk | 6.9 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-menu-0.16-r0.apk | 7.2 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-floatbox-0.11-r0.apk | 4.7 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-entry-plugin-completion-doc-..> | 3.8 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-entry-plugin-completion-0.02..> | 4.6 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-choice-doc-0.07-r0.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-tickit-widget-choice-0.07-r0.apk | 3.9 KiB | 2024-Oct-25 19:02 |
perl-throwable-doc-1.001-r1.apk | 8.0 KiB | 2024-Oct-25 19:02 |
perl-throwable-1.001-r1.apk | 6.2 KiB | 2024-Oct-25 19:02 |
perl-text-table-sprintf-doc-0.008-r0.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-text-table-sprintf-0.008-r0.apk | 5.4 KiB | 2024-Oct-25 19:02 |
perl-text-table-any-doc-0.117-r0.apk | 6.7 KiB | 2024-Oct-25 19:02 |
perl-text-table-any-0.117-r0.apk | 8.1 KiB | 2024-Oct-25 19:02 |
perl-text-brew-doc-0.02-r5.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-text-brew-0.02-r5.apk | 4.5 KiB | 2024-Oct-25 19:02 |
perl-test2-tools-explain-doc-0.02-r0.apk | 4.4 KiB | 2024-Oct-25 19:02 |
perl-test2-tools-explain-0.02-r0.apk | 3.8 KiB | 2024-Oct-25 19:02 |
perl-test-utf8-doc-1.03-r0.apk | 4.9 KiB | 2024-Nov-16 15:17 |
perl-test-utf8-1.03-r0.apk | 5.6 KiB | 2024-Nov-16 15:17 |
perl-test-useallmodules-doc-0.17-r1.apk | 3.9 KiB | 2024-Oct-25 19:02 |
perl-test-useallmodules-0.17-r1.apk | 3.8 KiB | 2024-Oct-25 19:02 |
perl-test-unit-doc-0.27-r0.apk | 48.3 KiB | 2024-Oct-25 19:02 |
perl-test-unit-0.27-r0.apk | 36.8 KiB | 2024-Oct-25 19:02 |
perl-test-trap-doc-0.3.5-r1.apk | 19.9 KiB | 2024-Oct-25 19:02 |
perl-test-trap-0.3.5-r1.apk | 19.8 KiB | 2024-Oct-25 19:02 |
perl-test-toolbox-doc-0.4-r5.apk | 6.2 KiB | 2024-Oct-25 19:02 |
perl-test-toolbox-0.4-r5.apk | 9.9 KiB | 2024-Oct-25 19:02 |
perl-test-timer-doc-2.12-r2.apk | 8.4 KiB | 2024-Oct-25 19:02 |
perl-test-timer-2.12-r2.apk | 8.9 KiB | 2024-Oct-25 19:02 |
perl-test-settings-doc-0.003-r0.apk | 6.0 KiB | 2024-Oct-25 19:02 |
perl-test-settings-0.003-r0.apk | 4.9 KiB | 2024-Oct-25 19:02 |
perl-test-roo-doc-1.004-r3.apk | 15.5 KiB | 2024-Oct-25 19:02 |
perl-test-roo-1.004-r3.apk | 11.9 KiB | 2024-Oct-25 19:02 |
perl-test-requires-git-doc-1.008-r0.apk | 4.4 KiB | 2024-Oct-25 19:02 |
perl-test-requires-git-1.008-r0.apk | 4.8 KiB | 2024-Oct-25 19:02 |
perl-test-redisserver-doc-0.23-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-test-redisserver-0.23-r0.apk | 5.0 KiB | 2024-Oct-25 19:02 |
perl-test-randomresult-doc-0.001-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
perl-test-randomresult-0.001-r0.apk | 3.5 KiB | 2024-Oct-25 19:02 |
perl-test-modern-doc-0.013-r3.apk | 9.9 KiB | 2024-Oct-25 19:02 |
perl-test-modern-0.013-r3.apk | 14.6 KiB | 2024-Oct-25 19:02 |
perl-test-memorygrowth-doc-0.05-r0.apk | 5.3 KiB | 2024-Oct-25 19:02 |
perl-test-memorygrowth-0.05-r0.apk | 6.4 KiB | 2024-Oct-25 19:02 |
perl-test-lwp-useragent-doc-0.036-r0.apk | 8.3 KiB | 2024-Oct-25 19:02 |
perl-test-lwp-useragent-0.036-r0.apk | 9.8 KiB | 2024-Oct-25 19:02 |
perl-test-files-doc-0.26-r0.apk | 14.6 KiB | 2024-Oct-25 19:02 |
perl-test-files-0.26-r0.apk | 6.7 KiB | 2024-Oct-25 19:02 |
perl-test-file-doc-1.993-r1.apk | 6.8 KiB | 2024-Oct-25 19:02 |
perl-test-file-1.993-r1.apk | 11.7 KiB | 2024-Oct-25 19:02 |
perl-test-expander-doc-2.5.1-r0.apk | 20.1 KiB | 2024-Oct-25 19:02 |
perl-test-expander-2.5.1-r0.apk | 7.1 KiB | 2024-Oct-25 19:02 |
perl-test-distribution-doc-2.00-r1.apk | 6.1 KiB | 2024-Oct-25 19:02 |
perl-test-distribution-2.00-r1.apk | 7.8 KiB | 2024-Oct-25 19:02 |
perl-test-describeme-doc-0.004-r0.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-test-describeme-0.004-r0.apk | 3.6 KiB | 2024-Oct-25 19:02 |
perl-test-class-tiny-doc-0.03-r0.apk | 5.4 KiB | 2024-Oct-25 19:02 |
perl-test-class-tiny-0.03-r0.apk | 5.9 KiB | 2024-Oct-25 19:02 |
perl-test-api-doc-0.010-r2.apk | 4.3 KiB | 2024-Oct-25 19:02 |
perl-test-api-0.010-r2.apk | 5.1 KiB | 2024-Oct-25 19:02 |
perl-term-ui-doc-0.50-r1.apk | 8.5 KiB | 2024-Oct-25 19:02 |
perl-term-ui-0.50-r1.apk | 10.0 KiB | 2024-Oct-25 19:02 |
perl-term-size-doc-0.211-r4.apk | 3.7 KiB | 2024-Oct-25 19:02 |
perl-term-size-0.211-r4.apk | 5.6 KiB | 2024-Oct-25 19:02 |
perl-template-tiny-doc-1.14-r0.apk | 4.7 KiB | 2024-Dec-15 10:09 |
perl-template-tiny-1.14-r0.apk | 5.2 KiB | 2024-Dec-15 10:09 |
perl-template-plugin-number-format-doc-1.06-r4.apk | 4.4 KiB | 2024-Oct-25 19:02 |
perl-template-plugin-number-format-1.06-r4.apk | 4.9 KiB | 2024-Oct-25 19:02 |
perl-template-plugin-csv-doc-0.04-r3.apk | 3.0 KiB | 2024-Oct-25 19:02 |
perl-template-plugin-csv-0.04-r3.apk | 2.7 KiB | 2024-Oct-25 19:02 |
perl-system-command-doc-1.122-r0.apk | 10.2 KiB | 2024-Oct-25 19:02 |
perl-system-command-1.122-r0.apk | 11.8 KiB | 2024-Oct-25 19:02 |
perl-sys-virt-doc-10.6.0-r0.apk | 98.9 KiB | 2024-Oct-25 19:02 |
perl-sys-virt-10.6.0-r0.apk | 197.8 KiB | 2024-Oct-25 19:02 |
perl-sys-syscall-doc-0.25-r10.apk | 3.7 KiB | 2024-Oct-25 19:02 |
perl-sys-syscall-0.25-r10.apk | 5.3 KiB | 2024-Oct-25 19:02 |
perl-syntax-operator-in-doc-0.10-r0.apk | 5.8 KiB | 2024-Oct-25 19:02 |
perl-syntax-operator-in-0.10-r0.apk | 9.4 KiB | 2024-Oct-25 19:02 |
perl-syntax-operator-equ-doc-0.10-r0.apk | 6.5 KiB | 2024-Oct-25 19:02 |
perl-syntax-operator-equ-0.10-r0.apk | 7.9 KiB | 2024-Oct-25 19:02 |
perl-syntax-keyword-match-doc-0.15-r0.apk | 7.8 KiB | 2024-Oct-25 19:02 |
perl-syntax-keyword-match-0.15-r0.apk | 13.6 KiB | 2024-Oct-25 19:02 |
perl-string-random-doc-0.32-r2.apk | 6.2 KiB | 2024-Oct-25 19:02 |
perl-string-random-0.32-r2.apk | 7.9 KiB | 2024-Oct-25 19:02 |
perl-string-crc32-doc-2.100-r4.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-string-crc32-2.100-r4.apk | 6.8 KiB | 2024-Oct-25 19:02 |
perl-string-compare-constanttime-doc-0.321-r6.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-string-compare-constanttime-0.321-r6.apk | 7.1 KiB | 2024-Oct-25 19:02 |
perl-string-camelcase-doc-0.04-r2.apk | 3.5 KiB | 2024-Oct-25 19:02 |
perl-string-camelcase-0.04-r2.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-storable-improved-doc-0.1.3-r0.apk | 6.9 KiB | 2024-Oct-25 19:02 |
perl-storable-improved-0.1.3-r0.apk | 6.7 KiB | 2024-Oct-25 19:02 |
perl-statistics-descriptive-doc-3.0801-r0.apk | 37.5 KiB | 2024-Oct-25 19:02 |
perl-statistics-descriptive-3.0801-r0.apk | 30.3 KiB | 2024-Oct-25 19:02 |
perl-statistics-basic-doc-1.6611-r0.apk | 49.8 KiB | 2024-Oct-25 19:02 |
perl-statistics-basic-1.6611-r0.apk | 9.2 KiB | 2024-Oct-25 19:02 |
perl-starman-doc-0.4017-r0.apk | 10.0 KiB | 2024-Oct-25 19:02 |
perl-starman-0.4017-r0.apk | 13.4 KiB | 2024-Oct-25 19:02 |
perl-sql-abstract-classic-doc-1.91-r1.apk | 20.2 KiB | 2024-Oct-25 19:02 |
perl-sql-abstract-classic-1.91-r1.apk | 29.5 KiB | 2024-Oct-25 19:02 |
perl-sort-versions-doc-1.62-r0.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-sort-versions-1.62-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
perl-sort-naturally-doc-1.03-r4.apk | 5.5 KiB | 2024-Oct-25 19:02 |
perl-sort-naturally-1.03-r4.apk | 8.7 KiB | 2024-Oct-25 19:02 |
perl-soap-lite-doc-1.27-r5.apk | 90.5 KiB | 2024-Oct-25 19:02 |
perl-soap-lite-1.27-r5.apk | 110.2 KiB | 2024-Oct-25 19:02 |
perl-snmp-info-doc-3.972002-r0.apk | 378.8 KiB | 2024-Oct-30 05:59 |
perl-snmp-info-3.972002-r0.apk | 332.7 KiB | 2024-Oct-30 05:59 |
perl-snmp-doc-5.0404-r13.apk | 14.0 KiB | 2024-Oct-25 19:02 |
perl-snmp-5.0404-r13.apk | 69.8 KiB | 2024-Oct-25 19:02 |
perl-signature-attribute-checked-doc-0.06-r0.apk | 4.6 KiB | 2024-Oct-25 19:02 |
perl-signature-attribute-checked-0.06-r0.apk | 7.6 KiB | 2024-Oct-25 19:02 |
perl-session-storage-secure-doc-1.000-r2.apk | 7.4 KiB | 2024-Oct-25 19:02 |
perl-session-storage-secure-1.000-r2.apk | 8.8 KiB | 2024-Oct-25 19:02 |
perl-sentinel-doc-0.07-r1.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-sentinel-0.07-r1.apk | 7.2 KiB | 2024-Oct-25 19:02 |
perl-scalar-readonly-doc-0.03-r1.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-scalar-readonly-0.03-r1.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-ryu-doc-4.001-r0.apk | 35.4 KiB | 2024-Oct-25 19:02 |
perl-ryu-async-doc-0.020-r0.apk | 11.8 KiB | 2024-Oct-25 19:02 |
perl-ryu-async-0.020-r0.apk | 7.5 KiB | 2024-Oct-25 19:02 |
perl-ryu-4.001-r0.apk | 26.1 KiB | 2024-Oct-25 19:02 |
perl-rxperl-mojo-doc-6.8.2-r0.apk | 9.1 KiB | 2024-Oct-25 19:02 |
perl-rxperl-mojo-6.8.2-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9.0 KiB | 2024-Oct-25 19:02 |
perl-rxperl-ioasync-6.9.1-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
perl-rxperl-doc-6.29.8-r0.apk | 22.6 KiB | 2024-Oct-25 19:02 |
perl-rxperl-anyevent-doc-6.8.1-r0.apk | 9.0 KiB | 2024-Oct-25 19:02 |
perl-rxperl-anyevent-6.8.1-r0.apk | 2.7 KiB | 2024-Oct-25 19:02 |
perl-rxperl-6.29.8-r0.apk | 26.3 KiB | 2024-Oct-25 19:02 |
perl-role-eventemitter-doc-0.003-r0.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-role-eventemitter-0.003-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
perl-regexp-grammars-doc-1.058-r0.apk | 47.8 KiB | 2024-Oct-25 19:02 |
perl-regexp-grammars-1.058-r0.apk | 65.6 KiB | 2024-Oct-25 19:02 |
perl-ref-util-xs-doc-0.117-r8.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-ref-util-xs-0.117-r8.apk | 9.7 KiB | 2024-Oct-25 19:02 |
perl-protocol-redis-faster-doc-0.003-r0.apk | 3.3 KiB | 2024-Oct-25 19:02 |
perl-protocol-redis-faster-0.003-r0.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-protocol-redis-doc-1.0021-r0.apk | 5.0 KiB | 2024-Oct-25 19:02 |
perl-protocol-redis-1.0021-r0.apk | 5.6 KiB | 2024-Oct-25 19:02 |
perl-protocol-database-postgresql-doc-2.001-r0.apk | 37.6 KiB | 2024-Oct-25 19:02 |
perl-protocol-database-postgresql-2.001-r0.apk | 19.2 KiB | 2024-Oct-25 19:02 |
perl-promise-xs-doc-0.20-r1.apk | 8.7 KiB | 2024-Oct-25 19:02 |
perl-promise-xs-0.20-r1.apk | 23.4 KiB | 2024-Oct-25 19:02 |
perl-promise-me-doc-0.5.0-r0.apk | 12.0 KiB | 2024-Oct-25 19:02 |
perl-promise-me-0.5.0-r0.apk | 25.6 KiB | 2024-Oct-25 19:02 |
perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.6 KiB | 2024-Oct-25 19:02 |
perl-promise-es6-io-async-0.28-r0.apk | 3.0 KiB | 2024-Oct-25 19:02 |
perl-promise-es6-future-0.28-r0.apk | 2.3 KiB | 2024-Oct-25 19:02 |
perl-promise-es6-doc-0.28-r0.apk | 12.1 KiB | 2024-Oct-25 19:02 |
perl-promise-es6-anyevent-0.28-r0.apk | 2.5 KiB | 2024-Oct-25 19:02 |
perl-promise-es6-0.28-r0.apk | 10.7 KiB | 2024-Oct-25 19:02 |
perl-proc-guard-doc-0.07-r4.apk | 3.5 KiB | 2024-Oct-25 19:02 |
perl-proc-guard-0.07-r4.apk | 3.7 KiB | 2024-Oct-25 19:02 |
perl-ppi-xs-doc-0.910-r1.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-ppi-xs-0.910-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-pod-tidy-doc-0.10-r1.apk | 10.6 KiB | 2024-Oct-25 19:02 |
perl-pod-tidy-0.10-r1.apk | 10.4 KiB | 2024-Oct-25 19:02 |
perl-pod-cpandoc-doc-0.16-r6.apk | 4.9 KiB | 2024-Oct-25 19:02 |
perl-pod-cpandoc-0.16-r6.apk | 4.6 KiB | 2024-Oct-25 19:02 |
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3.1 KiB | 2024-Oct-25 19:02 |
perl-plack-middleware-reverseproxy-0.16-r2.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-plack-middleware-expires-doc-0.06-r3.apk | 3.3 KiB | 2024-Oct-25 19:02 |
perl-plack-middleware-expires-0.06-r3.apk | 3.9 KiB | 2024-Oct-25 19:02 |
perl-perlio-locale-doc-0.10-r12.apk | 3.0 KiB | 2024-Oct-25 19:02 |
perl-perlio-locale-0.10-r12.apk | 4.4 KiB | 2024-Oct-25 19:02 |
perl-path-iter-doc-0.2-r3.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-path-iter-0.2-r3.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-pango-doc-1.227-r11.apk | 80.9 KiB | 2024-Oct-25 19:02 |
perl-pango-1.227-r11.apk | 79.5 KiB | 2024-Oct-25 19:02 |
perl-opentracing-doc-1.006-r0.apk | 32.7 KiB | 2024-Oct-25 19:02 |
perl-opentracing-1.006-r0.apk | 18.0 KiB | 2024-Oct-25 19:02 |
perl-openapi-client-doc-1.07-r0.apk | 7.4 KiB | 2024-Oct-25 19:02 |
perl-openapi-client-1.07-r0.apk | 8.6 KiB | 2024-Oct-25 19:02 |
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk | 4.5 KiB | 2024-Oct-25 19:02 |
perl-object-pad-fieldattr-checked-0.12-r0.apk | 8.2 KiB | 2024-Oct-25 19:02 |
perl-object-array-doc-0.060-r0.apk | 7.0 KiB | 2024-Oct-25 19:02 |
perl-object-array-0.060-r0.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-number-tolerant-doc-1.710-r0.apk | 25.6 KiB | 2024-Oct-25 19:02 |
perl-number-tolerant-1.710-r0.apk | 14.8 KiB | 2024-Oct-25 19:02 |
perl-number-misc-doc-1.2-r5.apk | 4.4 KiB | 2024-Oct-25 19:02 |
perl-number-misc-1.2-r5.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-number-format-doc-1.76-r1.apk | 9.0 KiB | 2024-Oct-25 19:02 |
perl-number-format-1.76-r1.apk | 15.3 KiB | 2024-Oct-25 19:02 |
perl-nice-try-doc-1.3.15-r0.apk | 12.1 KiB | 2024-Nov-07 11:08 |
perl-nice-try-1.3.15-r0.apk | 27.5 KiB | 2024-Nov-07 11:08 |
perl-netaddr-mac-doc-0.98-r1.apk | 8.0 KiB | 2024-Oct-25 19:02 |
perl-netaddr-mac-0.98-r1.apk | 10.8 KiB | 2024-Oct-25 19:02 |
perl-net-xmpp-doc-1.05-r0.apk | 43.6 KiB | 2024-Oct-25 19:02 |
perl-net-xmpp-1.05-r0.apk | 57.7 KiB | 2024-Oct-25 19:02 |
perl-net-patricia-doc-1.22-r12.apk | 6.0 KiB | 2024-Oct-25 19:02 |
perl-net-patricia-1.22-r12.apk | 20.6 KiB | 2024-Oct-25 19:02 |
perl-net-netmask-doc-2.0002-r2.apk | 8.5 KiB | 2024-Oct-25 19:02 |
perl-net-netmask-2.0002-r2.apk | 13.8 KiB | 2024-Oct-25 19:02 |
perl-net-jabber-doc-2.0-r0.apk | 48.4 KiB | 2024-Oct-25 19:02 |
perl-net-jabber-bot-doc-2.1.7-r0.apk | 7.6 KiB | 2024-Oct-25 19:02 |
perl-net-jabber-bot-2.1.7-r0.apk | 13.5 KiB | 2024-Oct-25 19:02 |
perl-net-jabber-2.0-r0.apk | 50.6 KiB | 2024-Oct-25 19:02 |
perl-net-irr-doc-0.10-r0.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-net-irr-0.10-r0.apk | 5.5 KiB | 2024-Oct-25 19:02 |
perl-net-idn-encode-doc-2.500-r1.apk | 21.7 KiB | 2024-Oct-25 19:02 |
perl-net-idn-encode-2.500-r1.apk | 83.3 KiB | 2024-Oct-25 19:02 |
perl-net-curl-promiser-mojo-0.20-r0.apk | 3.1 KiB | 2024-Oct-25 19:02 |
perl-net-curl-promiser-ioasync-0.20-r0.apk | 3.0 KiB | 2024-Oct-25 19:02 |
perl-net-curl-promiser-doc-0.20-r0.apk | 11.7 KiB | 2024-Oct-25 19:02 |
perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.7 KiB | 2024-Oct-25 19:02 |
perl-net-curl-promiser-0.20-r0.apk | 8.9 KiB | 2024-Oct-25 19:02 |
perl-net-curl-doc-0.56-r1.apk | 39.3 KiB | 2024-Oct-25 19:02 |
perl-net-curl-0.56-r1.apk | 61.3 KiB | 2024-Oct-25 19:02 |
perl-net-async-redis-xs-doc-1.001-r1.apk | 5.3 KiB | 2024-Oct-25 19:02 |
perl-net-async-redis-xs-1.001-r1.apk | 8.9 KiB | 2024-Oct-25 19:02 |
perl-net-async-redis-doc-6.006-r0.apk | 65.9 KiB | 2024-Dec-11 16:22 |
perl-net-async-redis-6.006-r0.apk | 58.4 KiB | 2024-Dec-11 16:22 |
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk | 9.7 KiB | 2024-Oct-25 19:02 |
perl-net-amqp-rabbitmq-2.40012-r0.apk | 77.5 KiB | 2024-Oct-25 19:02 |
perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.5 KiB | 2024-Oct-25 19:02 |
perl-net-address-ip-local-0.1.2-r0.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-musicbrainz-discid-doc-0.06-r1.apk | 4.3 KiB | 2024-Oct-25 19:02 |
perl-musicbrainz-discid-0.06-r1.apk | 9.1 KiB | 2024-Oct-25 19:02 |
perl-multidimensional-doc-0.014-r0.apk | 3.1 KiB | 2024-Oct-25 19:02 |
perl-multidimensional-0.014-r0.apk | 4.7 KiB | 2024-Oct-25 19:02 |
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk | 33.8 KiB | 2024-Oct-25 19:02 |
perl-mojolicious-plugin-openapi-5.09-r0.apk | 28.6 KiB | 2024-Oct-25 19:02 |
perl-mojo-sqlite-doc-3.009-r0.apk | 19.4 KiB | 2024-Oct-25 19:02 |
perl-mojo-sqlite-3.009-r0.apk | 16.0 KiB | 2024-Oct-25 19:02 |
perl-mojo-redis-doc-3.29-r0.apk | 24.6 KiB | 2024-Oct-25 19:02 |
perl-mojo-redis-3.29-r0.apk | 25.2 KiB | 2024-Oct-25 19:02 |
perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.4 KiB | 2024-Oct-25 19:02 |
perl-mojo-reactor-ioasync-1.002-r0.apk | 4.7 KiB | 2024-Oct-25 19:02 |
perl-module-generic-doc-0.37.7-r0.apk | 201.3 KiB | 2024-Nov-01 14:28 |
perl-module-generic-0.37.7-r0.apk | 252.8 KiB | 2024-Nov-01 14:28 |
perl-module-build-prereqs-fromcpanfile-doc-0.02..> | 3.8 KiB | 2024-Oct-25 19:02 |
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-minion-doc-10.31-r0.apk | 49.0 KiB | 2024-Oct-25 19:02 |
perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.8 KiB | 2024-Oct-25 19:02 |
perl-minion-backend-sqlite-5.0.7-r0.apk | 10.1 KiB | 2024-Oct-25 19:02 |
perl-minion-backend-redis-doc-0.003-r0.apk | 6.6 KiB | 2024-Oct-25 19:02 |
perl-minion-backend-redis-0.003-r0.apk | 10.5 KiB | 2024-Oct-25 19:02 |
perl-minion-backend-pg-10.31-r0.apk | 9.6 KiB | 2024-Oct-25 19:02 |
perl-minion-10.31-r0.apk | 1.5 MiB | 2024-Oct-25 19:02 |
perl-mce-doc-1.900-r0.apk | 170.7 KiB | 2024-Oct-25 19:02 |
perl-mce-1.900-r0.apk | 135.6 KiB | 2024-Oct-25 19:02 |
perl-math-random-isaac-xs-doc-1.004-r8.apk | 3.8 KiB | 2024-Oct-25 19:02 |
perl-math-random-isaac-xs-1.004-r8.apk | 7.6 KiB | 2024-Oct-25 19:02 |
perl-math-random-doc-0.72-r0.apk | 10.8 KiB | 2024-Oct-25 19:02 |
perl-math-random-0.72-r0.apk | 35.5 KiB | 2024-Oct-25 19:02 |
perl-math-libm-doc-1.00-r14.apk | 3.1 KiB | 2024-Oct-25 19:02 |
perl-math-libm-1.00-r14.apk | 10.0 KiB | 2024-Oct-25 19:02 |
perl-math-int64-doc-0.57-r1.apk | 10.4 KiB | 2024-Oct-25 19:02 |
perl-math-int64-0.57-r1.apk | 27.7 KiB | 2024-Oct-25 19:02 |
perl-mastodon-client-doc-0.017-r0.apk | 33.0 KiB | 2024-Oct-25 19:02 |
perl-mastodon-client-0.017-r0.apk | 22.1 KiB | 2024-Oct-25 19:02 |
perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-lwp-useragent-cached-0.08-r1.apk | 6.4 KiB | 2024-Oct-25 19:02 |
perl-lwp-online-doc-1.08-r0.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-lwp-online-1.08-r0.apk | 6.2 KiB | 2024-Oct-25 19:02 |
perl-lv-doc-0.006-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-lv-backend-sentinel-0.006-r0.apk | 2.0 KiB | 2024-Oct-25 19:02 |
perl-lv-backend-magic-0.006-r0.apk | 2.1 KiB | 2024-Oct-25 19:02 |
perl-lv-0.006-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-log-message-simple-doc-0.10-r3.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-log-message-simple-0.10-r3.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-log-message-doc-0.08-r3.apk | 12.2 KiB | 2024-Oct-25 19:02 |
perl-log-message-0.08-r3.apk | 10.6 KiB | 2024-Oct-25 19:02 |
perl-log-fu-doc-0.31-r4.apk | 7.3 KiB | 2024-Oct-25 19:02 |
perl-log-fu-0.31-r4.apk | 10.5 KiB | 2024-Oct-25 19:02 |
perl-list-keywords-doc-0.11-r0.apk | 5.5 KiB | 2024-Oct-25 19:02 |
perl-list-keywords-0.11-r0.apk | 14.4 KiB | 2024-Oct-25 19:02 |
perl-list-binarysearch-xs-doc-0.09-r1.apk | 8.1 KiB | 2024-Oct-25 19:02 |
perl-list-binarysearch-xs-0.09-r1.apk | 12.2 KiB | 2024-Oct-25 19:02 |
perl-list-binarysearch-doc-0.25-r0.apk | 11.5 KiB | 2024-Oct-25 19:02 |
perl-list-binarysearch-0.25-r0.apk | 10.0 KiB | 2024-Oct-25 19:02 |
perl-linux-pid-doc-0.04-r13.apk | 3.0 KiB | 2024-Oct-25 19:02 |
perl-linux-pid-0.04-r13.apk | 4.6 KiB | 2024-Oct-25 19:02 |
perl-libintl-perl-doc-1.33-r1.apk | 566.7 KiB | 2024-Oct-25 19:02 |
perl-libintl-perl-1.33-r1.apk | 316.4 KiB | 2024-Oct-25 19:02 |
perl-libapreq2-doc-2.17-r2.apk | 37.1 KiB | 2024-Oct-25 19:02 |
perl-libapreq2-dev-2.17-r2.apk | 55.3 KiB | 2024-Oct-25 19:02 |
perl-libapreq2-2.17-r2.apk | 98.4 KiB | 2024-Oct-25 19:02 |
perl-lib-abs-doc-0.95-r0.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-lib-abs-0.95-r0.apk | 3.9 KiB | 2024-Oct-25 19:02 |
perl-json-validator-doc-5.14-r0.apk | 33.2 KiB | 2024-Oct-25 19:02 |
perl-json-validator-5.14-r0.apk | 59.3 KiB | 2024-Oct-25 19:02 |
perl-json-path-doc-1.0.6-r0.apk | 12.6 KiB | 2024-Oct-25 19:02 |
perl-json-path-1.0.6-r0.apk | 16.2 KiB | 2024-Oct-25 19:02 |
perl-json-maybeutf8-doc-2.000-r0.apk | 3.6 KiB | 2024-Oct-25 19:02 |
perl-json-maybeutf8-2.000-r0.apk | 3.1 KiB | 2024-Oct-25 19:02 |
perl-io-sessiondata-1.03-r3.apk | 5.8 KiB | 2024-Oct-25 19:02 |
perl-io-lambda-doc-1.34-r0.apk | 67.9 KiB | 2024-Oct-25 19:02 |
perl-io-lambda-1.34-r0.apk | 75.5 KiB | 2024-Oct-25 19:02 |
perl-indirect-doc-0.39-r1.apk | 6.4 KiB | 2024-Oct-25 19:02 |
perl-indirect-0.39-r1.apk | 15.1 KiB | 2024-Oct-25 19:02 |
perl-i18n-langinfo-wide-doc-9-r4.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-i18n-langinfo-wide-9-r4.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-http-xsheaders-doc-0.400005-r1.apk | 6.3 KiB | 2024-Oct-25 19:02 |
perl-http-xsheaders-0.400005-r1.apk | 19.4 KiB | 2024-Oct-25 19:02 |
perl-http-thin-doc-0.006-r0.apk | 3.4 KiB | 2024-Oct-25 19:02 |
perl-http-thin-0.006-r0.apk | 3.1 KiB | 2024-Oct-25 19:02 |
perl-html-tableextract-doc-2.15-r4.apk | 9.9 KiB | 2024-Oct-25 19:02 |
perl-html-tableextract-2.15-r4.apk | 17.7 KiB | 2024-Oct-25 19:02 |
perl-html-selector-xpath-doc-0.28-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-html-selector-xpath-0.28-r0.apk | 6.2 KiB | 2024-Oct-25 19:02 |
perl-html-object-doc-0.5.1-r0.apk | 471.9 KiB | 2024-Oct-25 19:02 |
perl-html-object-0.5.1-r0.apk | 348.3 KiB | 2024-Oct-25 19:02 |
perl-hash-ordered-doc-0.014-r0.apk | 19.2 KiB | 2024-Oct-25 19:02 |
perl-hash-ordered-0.014-r0.apk | 9.8 KiB | 2024-Oct-25 19:02 |
perl-guard-doc-1.023-r9.apk | 5.3 KiB | 2024-Oct-25 19:02 |
perl-guard-1.023-r9.apk | 8.3 KiB | 2024-Oct-25 19:02 |
perl-gtk3-doc-0.038-r1.apk | 9.2 KiB | 2024-Oct-25 19:02 |
perl-gtk3-0.038-r1.apk | 19.6 KiB | 2024-Oct-25 19:02 |
perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.2 KiB | 2024-Oct-25 19:02 |
perl-gtk2-ex-widgetbits-48-r3.apk | 65.7 KiB | 2024-Oct-25 19:02 |
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.3 KiB | 2024-Oct-25 19:02 |
perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.8 KiB | 2024-Oct-25 19:02 |
perl-gtk2-doc-1.24993-r6.apk | 670.4 KiB | 2024-Oct-25 19:02 |
perl-gtk2-1.24993-r6.apk | 866.3 KiB | 2024-Oct-25 19:02 |
perl-graphql-client-doc-0.605-r0.apk | 14.0 KiB | 2024-Oct-25 19:02 |
perl-graphql-client-cli-0.605-r0.apk | 7.8 KiB | 2024-Oct-25 19:02 |
perl-graphql-client-0.605-r0.apk | 7.2 KiB | 2024-Oct-25 19:02 |
perl-glib-object-introspection-doc-0.051-r1.apk | 11.1 KiB | 2024-Oct-25 19:02 |
perl-glib-object-introspection-0.051-r1.apk | 58.8 KiB | 2024-Oct-25 19:02 |
perl-glib-ex-objectbits-doc-17-r0.apk | 22.4 KiB | 2024-Oct-25 19:02 |
perl-glib-ex-objectbits-17-r0.apk | 15.0 KiB | 2024-Oct-25 19:02 |
perl-git-version-compare-doc-1.005-r0.apk | 4.9 KiB | 2024-Oct-25 19:02 |
perl-git-version-compare-1.005-r0.apk | 5.4 KiB | 2024-Oct-25 19:02 |
perl-git-repository-doc-1.325-r0.apk | 31.5 KiB | 2024-Oct-25 19:02 |
perl-git-repository-1.325-r0.apk | 16.3 KiB | 2024-Oct-25 19:02 |
perl-git-raw-doc-0.90-r2.apk | 117.2 KiB | 2024-Nov-22 19:05 |
perl-git-raw-0.90-r2.apk | 168.8 KiB | 2024-Nov-22 19:05 |
perl-getopt-tabular-doc-0.3-r4.apk | 16.7 KiB | 2024-Oct-25 19:02 |
perl-getopt-tabular-0.3-r4.apk | 23.2 KiB | 2024-Oct-25 19:02 |
perl-getopt-long-descriptive-doc-0.115-r0.apk | 11.0 KiB | 2024-Nov-11 14:10 |
perl-getopt-long-descriptive-0.115-r0.apk | 14.6 KiB | 2024-Nov-11 14:10 |
perl-gearman-doc-2.004.015-r3.apk | 19.8 KiB | 2024-Oct-25 19:02 |
perl-gearman-2.004.015-r3.apk | 27.5 KiB | 2024-Oct-25 19:02 |
perl-future-queue-doc-0.52-r0.apk | 4.3 KiB | 2024-Oct-25 19:02 |
perl-future-queue-0.52-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-future-q-doc-0.120-r0.apk | 9.1 KiB | 2024-Oct-25 19:02 |
perl-future-q-0.120-r0.apk | 9.6 KiB | 2024-Oct-25 19:02 |
perl-future-http-doc-0.17-r0.apk | 15.7 KiB | 2024-Oct-25 19:02 |
perl-future-http-0.17-r0.apk | 9.2 KiB | 2024-Oct-25 19:02 |
perl-future-asyncawait-hooks-doc-0.02-r0.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-future-asyncawait-hooks-0.02-r0.apk | 7.9 KiB | 2024-Oct-25 19:02 |
perl-full-doc-1.004-r0.apk | 10.3 KiB | 2024-Oct-25 19:02 |
perl-full-1.004-r0.apk | 7.1 KiB | 2024-Oct-25 19:02 |
perl-freezethaw-doc-0.5001-r2.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-freezethaw-0.5001-r2.apk | 9.8 KiB | 2024-Oct-25 19:02 |
perl-flowd-doc-0.9.1-r10.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-flowd-0.9.1-r10.apk | 21.1 KiB | 2024-Oct-25 19:02 |
perl-file-rename-doc-2.02-r0.apk | 12.1 KiB | 2024-Oct-25 19:02 |
perl-file-rename-2.02-r0.apk | 7.5 KiB | 2024-Oct-25 19:02 |
perl-file-mmagic-xs-doc-0.09008-r4.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-file-mmagic-xs-0.09008-r4.apk | 29.2 KiB | 2024-Oct-25 19:02 |
perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-ffi-platypus-type-enum-0.06-r0.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-ffi-platypus-doc-2.10-r0.apk | 146.1 KiB | 2024-Dec-19 06:09 |
perl-ffi-platypus-2.10-r0.apk | 181.3 KiB | 2024-Dec-19 06:09 |
perl-ffi-c-doc-0.15-r0.apk | 28.6 KiB | 2024-Oct-25 19:02 |
perl-ffi-c-0.15-r0.apk | 19.9 KiB | 2024-Oct-25 19:02 |
perl-feed-find-doc-0.13-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
perl-feed-find-0.13-r0.apk | 3.9 KiB | 2024-Oct-25 19:02 |
perl-extutils-xsbuilder-doc-0.28-r5.apk | 21.1 KiB | 2024-Oct-25 19:02 |
perl-extutils-xsbuilder-0.28-r5.apk | 43.1 KiB | 2024-Oct-25 19:02 |
perl-extutils-makemaker-7.70-r2.apk | 174.7 KiB | 2024-Oct-25 19:02 |
perl-ev-hiredis-doc-0.07-r1.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-ev-hiredis-0.07-r1.apk | 12.4 KiB | 2024-Oct-25 19:02 |
perl-email-reply-doc-1.204-r5.apk | 4.8 KiB | 2024-Oct-25 19:02 |
perl-email-reply-1.204-r5.apk | 6.1 KiB | 2024-Oct-25 19:02 |
perl-email-mime-attachment-stripper-doc-1.317-r..> | 3.8 KiB | 2024-Oct-25 19:02 |
perl-email-mime-attachment-stripper-1.317-r5.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-email-abstract-doc-3.010-r0.apk | 12.9 KiB | 2024-Oct-25 19:02 |
perl-email-abstract-3.010-r0.apk | 7.7 KiB | 2024-Oct-25 19:02 |
perl-dns-unbound-mojo-0.29-r1.apk | 2.7 KiB | 2024-Oct-25 19:02 |
perl-dns-unbound-ioasync-0.29-r1.apk | 2.4 KiB | 2024-Oct-25 19:02 |
perl-dns-unbound-doc-0.29-r1.apk | 15.3 KiB | 2024-Oct-25 19:02 |
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk | 1.9 KiB | 2024-Oct-25 19:02 |
perl-dns-unbound-anyevent-0.29-r1.apk | 2.3 KiB | 2024-Oct-25 19:02 |
perl-dns-unbound-0.29-r1.apk | 22.9 KiB | 2024-Oct-25 19:02 |
perl-digest-crc-doc-0.24-r1.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-digest-crc-0.24-r1.apk | 9.2 KiB | 2024-Oct-25 19:02 |
perl-digest-bcrypt-doc-1.212-r1.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-digest-bcrypt-1.212-r1.apk | 5.6 KiB | 2024-Oct-25 19:02 |
perl-devel-refcount-doc-0.10-r1.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-devel-refcount-0.10-r1.apk | 6.0 KiB | 2024-Oct-25 19:02 |
perl-devel-leak-doc-0.03-r13.apk | 3.3 KiB | 2024-Oct-25 19:02 |
perl-devel-leak-0.03-r13.apk | 6.6 KiB | 2024-Oct-25 19:02 |
perl-devel-confess-doc-0.009004-r0.apk | 6.7 KiB | 2024-Oct-25 19:02 |
perl-devel-confess-0.009004-r0.apk | 11.4 KiB | 2024-Oct-25 19:02 |
perl-dbix-introspector-doc-0.001005-r4.apk | 8.5 KiB | 2024-Oct-25 19:02 |
perl-dbix-introspector-0.001005-r4.apk | 8.1 KiB | 2024-Oct-25 19:02 |
perl-dbix-datasource-doc-0.02-r5.apk | 7.5 KiB | 2024-Oct-25 19:02 |
perl-dbix-datasource-0.02-r5.apk | 4.3 KiB | 2024-Oct-25 19:02 |
perl-dbix-class-helpers-doc-2.037000-r0.apk | 121.4 KiB | 2024-Nov-17 04:04 |
perl-dbix-class-helpers-2.037000-r0.apk | 47.7 KiB | 2024-Nov-17 04:04 |
perl-dbix-class-doc-0.082843-r1.apk | 345.4 KiB | 2024-Oct-25 19:02 |
perl-dbix-class-candy-doc-0.005004-r0.apk | 9.5 KiB | 2024-Oct-30 05:59 |
perl-dbix-class-candy-0.005004-r0.apk | 7.9 KiB | 2024-Oct-30 05:59 |
perl-dbix-class-0.082843-r1.apk | 430.2 KiB | 2024-Oct-25 19:02 |
perl-dbicx-sugar-doc-0.0200-r5.apk | 5.3 KiB | 2024-Oct-25 19:02 |
perl-dbicx-sugar-0.0200-r5.apk | 5.9 KiB | 2024-Oct-25 19:02 |
perl-datetime-timezone-catalog-extend-doc-0.3.3..> | 15.0 KiB | 2024-Oct-25 19:02 |
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11.8 KiB | 2024-Oct-25 19:02 |
perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.6 KiB | 2024-Oct-25 19:02 |
perl-datetime-timezone-alias-0.06-r0.apk | 2.5 KiB | 2024-Oct-25 19:02 |
perl-datetime-format-rfc3339-doc-1.8.0-r0.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-datetime-format-rfc3339-1.8.0-r0.apk | 4.5 KiB | 2024-Oct-25 19:02 |
perl-datetime-format-flexible-doc-0.36-r0.apk | 12.1 KiB | 2024-Oct-25 19:02 |
perl-datetime-format-flexible-0.36-r0.apk | 18.0 KiB | 2024-Oct-25 19:02 |
perl-datetime-format-atom-doc-1.6.0-r0.apk | 3.8 KiB | 2024-Oct-25 19:02 |
perl-datetime-format-atom-1.6.0-r0.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-database-async-engine-postgresql-doc-1.005..> | 9.3 KiB | 2024-Oct-25 19:02 |
perl-database-async-engine-postgresql-1.005-r0.apk | 13.9 KiB | 2024-Oct-25 19:02 |
perl-database-async-doc-0.019-r0.apk | 29.1 KiB | 2024-Oct-25 19:02 |
perl-database-async-0.019-r0.apk | 22.8 KiB | 2024-Oct-25 19:02 |
perl-data-validate-ip-doc-0.31-r1.apk | 5.9 KiB | 2024-Oct-25 19:02 |
perl-data-validate-ip-0.31-r1.apk | 8.8 KiB | 2024-Oct-25 19:02 |
perl-data-validate-domain-doc-0.15-r0.apk | 5.5 KiB | 2024-Oct-25 19:02 |
perl-data-validate-domain-0.15-r0.apk | 5.8 KiB | 2024-Oct-25 19:02 |
perl-data-checks-doc-0.10-r0.apk | 8.2 KiB | 2024-Oct-25 19:02 |
perl-data-checks-0.10-r0.apk | 21.7 KiB | 2024-Oct-25 19:02 |
perl-dancer-session-cookie-doc-0.30-r2.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-dancer-session-cookie-0.30-r2.apk | 5.5 KiB | 2024-Oct-25 19:02 |
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.5 KiB | 2024-Oct-25 19:02 |
perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.7 KiB | 2024-Oct-25 19:02 |
perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5.4 KiB | 2024-Oct-25 19:02 |
perl-dancer-plugin-dbic-0.2104-r5.apk | 4.9 KiB | 2024-Oct-25 19:02 |
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.8 KiB | 2024-Oct-25 19:02 |
perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.3 KiB | 2024-Oct-25 19:02 |
perl-daemon-control-doc-0.001010-r2.apk | 8.3 KiB | 2024-Oct-25 19:02 |
perl-daemon-control-0.001010-r2.apk | 12.4 KiB | 2024-Oct-25 19:02 |
perl-css-object-doc-0.2.0-r0.apk | 32.6 KiB | 2024-Oct-25 19:02 |
perl-css-object-0.2.0-r0.apk | 22.8 KiB | 2024-Oct-25 19:02 |
perl-crypt-saltedhash-doc-0.09-r5.apk | 6.4 KiB | 2024-Oct-25 19:02 |
perl-crypt-saltedhash-0.09-r5.apk | 7.0 KiB | 2024-Oct-25 19:02 |
perl-crypt-random-seed-doc-0.03-r0.apk | 8.8 KiB | 2024-Oct-25 19:02 |
perl-crypt-random-seed-0.03-r0.apk | 11.2 KiB | 2024-Oct-25 19:02 |
perl-cpan-changes-doc-0.500004-r0.apk | 18.2 KiB | 2024-Oct-25 19:02 |
perl-cpan-changes-0.500004-r0.apk | 13.7 KiB | 2024-Oct-25 19:02 |
perl-context-preserve-doc-0.03-r4.apk | 4.2 KiB | 2024-Oct-25 19:02 |
perl-context-preserve-0.03-r4.apk | 3.9 KiB | 2024-Oct-25 19:02 |
perl-constant-generate-doc-0.17-r5.apk | 7.0 KiB | 2024-Oct-25 19:02 |
perl-constant-generate-0.17-r5.apk | 8.8 KiB | 2024-Oct-25 19:02 |
perl-constant-defer-doc-6-r5.apk | 7.0 KiB | 2024-Oct-25 19:02 |
perl-constant-defer-6-r5.apk | 7.4 KiB | 2024-Oct-25 19:02 |
perl-conf-libconfig-doc-1.0.3-r0.apk | 5.4 KiB | 2024-Oct-25 19:02 |
perl-conf-libconfig-1.0.3-r0.apk | 23.7 KiB | 2024-Oct-25 19:02 |
perl-color-rgb-util-doc-0.607-r0.apk | 7.4 KiB | 2024-Oct-25 19:02 |
perl-color-rgb-util-0.607-r0.apk | 9.4 KiB | 2024-Oct-25 19:02 |
perl-color-ansi-util-doc-0.165-r0.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-color-ansi-util-0.165-r0.apk | 7.3 KiB | 2024-Oct-25 19:02 |
perl-class-inner-doc-0.200001-r5.apk | 4.1 KiB | 2024-Oct-25 19:02 |
perl-class-inner-0.200001-r5.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-class-c3-doc-0.35-r1.apk | 9.3 KiB | 2024-Oct-25 19:02 |
perl-class-c3-componentised-doc-1.001002-r2.apk | 5.3 KiB | 2024-Oct-25 19:02 |
perl-class-c3-componentised-1.001002-r2.apk | 5.5 KiB | 2024-Oct-25 19:02 |
perl-class-c3-0.35-r1.apk | 9.5 KiB | 2024-Oct-25 19:02 |
perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.5 KiB | 2024-Oct-25 19:02 |
perl-class-accessor-grouped-0.10014-r2.apk | 12.0 KiB | 2024-Oct-25 19:02 |
perl-check-unitcheck-doc-0.13-r1.apk | 3.6 KiB | 2024-Oct-25 19:02 |
perl-check-unitcheck-0.13-r1.apk | 5.8 KiB | 2024-Oct-25 19:02 |
perl-cgi-expand-doc-2.05-r4.apk | 6.2 KiB | 2024-Oct-25 19:02 |
perl-cgi-expand-2.05-r4.apk | 6.9 KiB | 2024-Oct-25 19:02 |
perl-cairo-gobject-doc-1.005-r4.apk | 3.0 KiB | 2024-Oct-25 19:02 |
perl-cairo-gobject-1.005-r4.apk | 6.3 KiB | 2024-Oct-25 19:02 |
perl-cairo-doc-1.109-r4.apk | 13.9 KiB | 2024-Oct-25 19:02 |
perl-cairo-1.109-r4.apk | 74.1 KiB | 2024-Oct-25 19:02 |
perl-cache-lru-doc-0.04-r0.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-cache-lru-0.04-r0.apk | 3.0 KiB | 2024-Oct-25 19:02 |
perl-bytes-random-secure-doc-0.29-r0.apk | 12.2 KiB | 2024-Oct-25 19:02 |
perl-bytes-random-secure-0.29-r0.apk | 14.3 KiB | 2024-Oct-25 19:02 |
perl-bsd-resource-doc-1.2911-r10.apk | 7.9 KiB | 2024-Oct-25 19:02 |
perl-bsd-resource-1.2911-r10.apk | 18.9 KiB | 2024-Oct-25 19:02 |
perl-bind-config-parser-doc-0.01-r5.apk | 3.6 KiB | 2024-Oct-25 19:02 |
perl-bind-config-parser-0.01-r5.apk | 3.9 KiB | 2024-Oct-25 19:02 |
perl-bareword-filehandles-doc-0.007-r0.apk | 3.2 KiB | 2024-Oct-25 19:02 |
perl-bareword-filehandles-0.007-r0.apk | 5.8 KiB | 2024-Oct-25 19:02 |
perl-barcode-zbar-doc-0.10-r3.apk | 12.6 KiB | 2024-Oct-25 19:02 |
perl-barcode-zbar-0.10-r3.apk | 29.8 KiB | 2024-Oct-25 19:02 |
perl-b-hooks-op-check-doc-0.22-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
perl-b-hooks-op-check-0.22-r0.apk | 6.4 KiB | 2024-Oct-25 19:02 |
perl-autobox-doc-3.0.2-r0.apk | 8.8 KiB | 2024-Oct-25 19:02 |
perl-autobox-3.0.2-r0.apk | 18.6 KiB | 2024-Oct-25 19:02 |
perl-archive-extract-doc-0.88-r1.apk | 6.8 KiB | 2024-Oct-25 19:02 |
perl-archive-extract-0.88-r1.apk | 15.7 KiB | 2024-Oct-25 19:02 |
perl-anyevent-riperedis-doc-0.48-r0.apk | 10.3 KiB | 2024-Oct-25 19:02 |
perl-anyevent-riperedis-0.48-r0.apk | 12.4 KiB | 2024-Oct-25 19:02 |
perl-anyevent-future-doc-0.05-r0.apk | 5.4 KiB | 2024-Oct-25 19:02 |
perl-anyevent-future-0.05-r0.apk | 5.2 KiB | 2024-Oct-25 19:02 |
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4.0 KiB | 2024-Oct-25 19:02 |
perl-anyevent-dns-etchosts-0.0105-r0.apk | 5.1 KiB | 2024-Oct-25 19:02 |
perl-aliased-doc-0.34-r4.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-aliased-0.34-r4.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-algorithm-permute-doc-0.17-r0.apk | 5.0 KiB | 2024-Oct-25 19:02 |
perl-algorithm-permute-0.17-r0.apk | 11.7 KiB | 2024-Oct-25 19:02 |
perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.7 KiB | 2024-Oct-25 19:02 |
perl-algorithm-evolutionary-0.82.1-r0.apk | 78.7 KiB | 2024-Oct-25 19:02 |
perl-algorithm-cron-doc-0.10-r4.apk | 4.6 KiB | 2024-Oct-25 19:02 |
perl-algorithm-cron-0.10-r4.apk | 6.1 KiB | 2024-Oct-25 19:02 |
perl-algorithm-c3-doc-0.11-r1.apk | 5.1 KiB | 2024-Oct-25 19:02 |
perl-algorithm-c3-0.11-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
perl-algorithm-backoff-doc-0.010-r0.apk | 29.5 KiB | 2024-Oct-25 19:02 |
perl-algorithm-backoff-0.010-r0.apk | 9.6 KiB | 2024-Oct-25 19:02 |
perl-adapter-async-doc-0.019-r0.apk | 16.8 KiB | 2024-Oct-25 19:02 |
perl-adapter-async-0.019-r0.apk | 8.1 KiB | 2024-Oct-25 19:02 |
percona-toolkit-doc-3.5.4-r1.apk | 297.5 KiB | 2024-Oct-25 19:02 |
percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-Oct-25 19:02 |
pegasus-frontend-doc-16_alpha-r0.apk | 16.2 KiB | 2024-Oct-25 19:02 |
pegasus-frontend-16_alpha-r0.apk | 1.2 MiB | 2024-Oct-25 19:02 |
peg-doc-0.1.18-r1.apk | 13.7 KiB | 2024-Oct-25 19:02 |
peg-0.1.18-r1.apk | 38.0 KiB | 2024-Oct-25 19:02 |
peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2024-Oct-25 19:02 |
peervpn-0.044-r5.apk | 40.2 KiB | 2024-Oct-25 19:02 |
pebble-le-doc-0.3.0-r2.apk | 3.7 KiB | 2024-Dec-14 21:23 |
pebble-le-dev-0.3.0-r2.apk | 40.0 KiB | 2024-Dec-14 21:23 |
pebble-le-0.3.0-r2.apk | 63.2 KiB | 2024-Dec-14 21:23 |
pdm-pyc-2.18.2-r0.apk | 482.3 KiB | 2024-Oct-25 19:02 |
pdm-2.18.2-r0.apk | 227.3 KiB | 2024-Oct-25 19:02 |
pdfcrack-0.20-r0.apk | 24.4 KiB | 2024-Oct-25 19:02 |
pdfcpu-0.9.1-r0.apk | 4.6 MiB | 2024-Oct-27 18:06 |
pdf2svg-0.2.3-r1.apk | 4.8 KiB | 2024-Oct-25 19:02 |
pdal-python-plugins-1.2.1-r3.apk | 227.9 KiB | 2024-Oct-25 19:02 |
pcsx2-1.7.4819-r4.apk | 11.5 MiB | 2024-Oct-25 19:02 |
pcsc-tools-doc-1.7.2-r0.apk | 6.0 KiB | 2024-Oct-25 19:02 |
pcsc-tools-1.7.2-r0.apk | 187.6 KiB | 2024-Oct-25 19:02 |
pcsc-perl-doc-1.4.16-r1.apk | 10.0 KiB | 2024-Oct-25 19:02 |
pcsc-perl-1.4.16-r1.apk | 25.0 KiB | 2024-Oct-25 19:02 |
pcl-libs-1.14.0-r2.apk | 17.7 MiB | 2024-Oct-25 19:02 |
pcl-dev-1.14.0-r2.apk | 1.5 MiB | 2024-Oct-25 19:02 |
pcl-1.14.0-r2.apk | 2.8 MiB | 2024-Oct-25 19:02 |
pcem-17-r2.apk | 2.0 MiB | 2024-Oct-25 19:02 |
pathvector-6.3.2-r8.apk | 3.8 MiB | 2024-Oct-25 19:02 |
pasystray-doc-0.8.2-r0.apk | 3.3 KiB | 2024-Oct-25 19:02 |
pasystray-0.8.2-r0.apk | 45.6 KiB | 2024-Oct-25 19:02 |
pastel-zsh-completion-0.10.0-r0.apk | 4.9 KiB | 2024-Oct-25 19:02 |
pastel-fish-completion-0.10.0-r0.apk | 3.2 KiB | 2024-Oct-25 19:02 |
pastel-bash-completion-0.10.0-r0.apk | 3.0 KiB | 2024-Oct-25 19:02 |
pastel-0.10.0-r0.apk | 418.6 KiB | 2024-Oct-25 19:02 |
pass2csv-pyc-1.1.1-r1.apk | 7.5 KiB | 2024-Oct-25 19:02 |
pass2csv-1.1.1-r1.apk | 8.4 KiB | 2024-Oct-25 19:02 |
pash-2.3.0-r2.apk | 4.3 KiB | 2024-Oct-25 19:02 |
parse-changelog-0.6.8-r0.apk | 539.6 KiB | 2024-Oct-25 19:02 |
pari-libs-2.17.0-r0.apk | 4.4 MiB | 2024-Oct-25 19:02 |
pari-doc-2.17.0-r0.apk | 925.1 KiB | 2024-Oct-25 19:02 |
pari-dev-2.17.0-r0.apk | 106.8 KiB | 2024-Oct-25 19:02 |
pari-2.17.0-r0.apk | 581.5 KiB | 2024-Oct-25 19:02 |
parcellite-lang-1.2.5-r0.apk | 48.8 KiB | 2024-Oct-25 19:02 |
parcellite-doc-1.2.5-r0.apk | 25.2 KiB | 2024-Oct-25 19:02 |
parcellite-1.2.5-r0.apk | 228.0 KiB | 2024-Oct-25 19:02 |
paraexec-1.0-r3.apk | 13.3 MiB | 2024-Oct-25 19:02 |
par2cmdline-turbo-doc-1.2.0-r0.apk | 5.3 KiB | 2024-Dec-10 17:03 |
par2cmdline-turbo-1.2.0-r0.apk | 309.6 KiB | 2024-Dec-10 17:03 |
par-doc-1.53.0-r1.apk | 30.3 KiB | 2024-Oct-25 19:02 |
par-1.53.0-r1.apk | 14.0 KiB | 2024-Oct-25 19:02 |
paprefs-lang-1.2-r2.apk | 37.9 KiB | 2024-Nov-22 22:14 |
paprefs-1.2-r2.apk | 30.3 KiB | 2024-Nov-22 22:14 |
paperkey-doc-1.6-r2.apk | 4.5 KiB | 2024-Oct-25 19:02 |
paperkey-1.6-r2.apk | 15.9 KiB | 2024-Oct-25 19:02 |
paperde-dev-0.2.1-r2.apk | 5.2 KiB | 2024-Oct-25 19:02 |
paperde-0.2.1-r2.apk | 635.1 KiB | 2024-Oct-25 19:02 |
pantalaimon-ui-0.10.5-r4.apk | 1.7 KiB | 2024-Oct-25 19:02 |
pantalaimon-pyc-0.10.5-r4.apk | 82.9 KiB | 2024-Oct-25 19:02 |
pantalaimon-doc-0.10.5-r4.apk | 6.4 KiB | 2024-Oct-25 19:02 |
pantalaimon-0.10.5-r4.apk | 44.8 KiB | 2024-Oct-25 19:02 |
pamtester-doc-0.1.2-r4.apk | 2.9 KiB | 2024-Oct-25 19:02 |
pamtester-0.1.2-r4.apk | 9.2 KiB | 2024-Oct-25 19:02 |
pam_sqlite3-1.0.2-r2.apk | 8.5 KiB | 2024-Oct-25 19:02 |
pam-krb5-doc-4.11-r1.apk | 23.6 KiB | 2024-Oct-25 19:02 |
pam-krb5-4.11-r1.apk | 22.4 KiB | 2024-Oct-25 19:02 |
pacparser-doc-1.4.5-r1.apk | 17.8 KiB | 2024-Oct-25 19:02 |
pacparser-dev-1.4.5-r1.apk | 3.6 KiB | 2024-Oct-25 19:02 |
pacparser-1.4.5-r1.apk | 748.5 KiB | 2024-Oct-25 19:02 |
p910nd-openrc-0.97-r2.apk | 1.8 KiB | 2024-Oct-25 19:02 |
p910nd-doc-0.97-r2.apk | 3.0 KiB | 2024-Oct-25 19:02 |
p910nd-0.97-r2.apk | 7.7 KiB | 2024-Oct-25 19:02 |
p0f-doc-3.09b-r3.apk | 25.3 KiB | 2024-Oct-25 19:02 |
p0f-3.09b-r3.apk | 75.1 KiB | 2024-Oct-25 19:02 |
oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-Oct-25 19:02 |
ovpncc-doc-0.1_rc1-r0.apk | 6.5 KiB | 2024-Oct-25 19:02 |
ovpncc-0.1_rc1-r0.apk | 11.9 KiB | 2024-Oct-25 19:02 |
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 4.0 KiB | 2024-Oct-25 19:02 |
ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 45.6 KiB | 2024-Oct-25 19:02 |
ovos-phal-pyc-0.2.7-r0.apk | 7.2 KiB | 2024-Nov-21 13:32 |
ovos-phal-0.2.7-r0.apk | 10.3 KiB | 2024-Nov-21 13:32 |
ovos-messagebus-pyc-0.0.8-r0.apk | 6.6 KiB | 2024-Nov-25 12:42 |
ovos-messagebus-0.0.8-r0.apk | 9.8 KiB | 2024-Nov-25 12:42 |
ovos-gui-pyc-1.1.0-r0.apk | 38.4 KiB | 2024-Nov-25 12:42 |
ovos-gui-1.1.0-r0.apk | 30.7 KiB | 2024-Nov-25 12:42 |
ovos-dinkum-listener-pyc-0.3.4-r0.apk | 56.4 KiB | 2024-Nov-21 13:32 |
ovos-dinkum-listener-0.3.4-r0.apk | 108.5 KiB | 2024-Nov-21 13:32 |
ovos-core-pyc-0.2.17-r0.apk | 206.4 KiB | 2024-Nov-25 12:42 |
ovos-core-0.2.17-r0.apk | 369.2 KiB | 2024-Nov-25 12:42 |
ovos-audio-pyc-0.3.1-r0.apk | 36.0 KiB | 2024-Nov-21 13:32 |
ovos-audio-0.3.1-r0.apk | 135.6 KiB | 2024-Nov-21 13:32 |
ovos-0.0.1-r1.apk | 1.5 KiB | 2024-Oct-25 19:02 |
ovn-openrc-24.03.1-r0.apk | 4.2 KiB | 2024-Oct-25 19:02 |
ovn-doc-24.03.1-r0.apk | 511.9 KiB | 2024-Oct-25 19:02 |
ovn-dev-24.03.1-r0.apk | 10.6 MiB | 2024-Oct-25 19:02 |
ovn-dbg-24.03.1-r0.apk | 25.9 MiB | 2024-Oct-25 19:02 |
ovn-24.03.1-r0.apk | 6.9 MiB | 2024-Oct-25 19:02 |
ouch-zsh-completion-0.5.1-r0.apk | 2.9 KiB | 2024-Oct-25 19:02 |
ouch-fish-completion-0.5.1-r0.apk | 2.4 KiB | 2024-Oct-25 19:02 |
ouch-doc-0.5.1-r0.apk | 3.7 KiB | 2024-Oct-25 19:02 |
ouch-bash-completion-0.5.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:02 |
ouch-0.5.1-r0.apk | 1.1 MiB | 2024-Oct-25 19:02 |
otrs-setup-6.0.48-r2.apk | 107.3 KiB | 2024-Oct-25 19:02 |
otrs-openrc-6.0.48-r2.apk | 1.9 KiB | 2024-Oct-25 19:02 |
otrs-nginx-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-25 19:02 |
otrs-fastcgi-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-25 19:02 |
otrs-doc-6.0.48-r2.apk | 795.1 KiB | 2024-Oct-25 19:02 |
otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-Oct-25 19:02 |
otrs-bash-completion-6.0.48-r2.apk | 2.4 KiB | 2024-Oct-25 19:02 |
otrs-apache2-6.0.48-r2.apk | 4.0 KiB | 2024-Oct-25 19:02 |
otrs-6.0.48-r2.apk | 28.7 MiB | 2024-Oct-25 19:02 |
otpclient-doc-4.0.2-r0.apk | 3.6 KiB | 2024-Oct-25 19:02 |
otpclient-4.0.2-r0.apk | 117.0 KiB | 2024-Oct-25 19:02 |
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.7 KiB | 2024-Oct-25 19:02 |
otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.6 KiB | 2024-Oct-25 19:02 |
osmctools-0.9-r0.apk | 119.4 KiB | 2024-Oct-25 19:02 |
orage-lang-4.18.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:02 |
orage-4.18.0-r0.apk | 576.2 KiB | 2024-Oct-25 19:02 |
opmsg-1.84-r1.apk | 271.6 KiB | 2024-Oct-25 19:02 |
opkg-utils-doc-0.7.0-r0.apk | 3.6 KiB | 2024-Oct-25 19:02 |
opkg-utils-0.7.0-r0.apk | 25.2 KiB | 2024-Oct-25 19:02 |
opkg-libs-0.7.0-r0.apk | 76.8 KiB | 2024-Oct-25 19:02 |
opkg-doc-0.7.0-r0.apk | 7.7 KiB | 2024-Oct-25 19:02 |
opkg-dev-0.7.0-r0.apk | 109.4 KiB | 2024-Oct-25 19:02 |
opkg-0.7.0-r0.apk | 9.8 KiB | 2024-Oct-25 19:02 |
openwsman-libs-2.7.2-r5.apk | 319.0 KiB | 2024-Oct-25 19:02 |
openwsman-doc-2.7.2-r5.apk | 2.4 KiB | 2024-Oct-25 19:02 |
openwsman-dev-2.7.2-r5.apk | 57.7 KiB | 2024-Oct-25 19:02 |
openwsman-2.7.2-r5.apk | 48.4 KiB | 2024-Oct-25 19:02 |
openvpn3-dev-3.8.5-r0.apk | 686.3 KiB | 2024-Oct-25 19:02 |
openvpn3-3.8.5-r0.apk | 385.3 KiB | 2024-Oct-25 19:02 |
openttd-opensfx-1.0.3-r0.apk | 11.0 MiB | 2024-Oct-25 19:02 |
openttd-openmsx-0.4.2-r0.apk | 129.1 KiB | 2024-Oct-25 19:02 |
openttd-opengfx-7.1-r0.apk | 3.3 MiB | 2024-Oct-25 19:02 |
openttd-lang-14.1-r0.apk | 3.5 MiB | 2024-Oct-25 19:02 |
openttd-doc-14.1-r0.apk | 264.1 KiB | 2024-Oct-25 19:02 |
openttd-14.1-r0.apk | 6.7 MiB | 2024-Oct-25 19:02 |
opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk | 47.5 KiB | 2024-Nov-11 18:38 |
opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk | 67.6 KiB | 2024-Nov-11 18:38 |
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk | 35.7 KiB | 2024-Nov-11 18:38 |
opentelemetry-cpp-exporter-otlp-common-1.11.0-r..> | 31.3 KiB | 2024-Nov-11 18:38 |
opentelemetry-cpp-dev-1.11.0-r5.apk | 323.3 KiB | 2024-Nov-11 18:38 |
opentelemetry-cpp-1.11.0-r5.apk | 528.8 KiB | 2024-Nov-11 18:38 |
openswitcher-proxy-openrc-0.5.0-r4.apk | 2.0 KiB | 2024-Oct-25 19:02 |
openswitcher-proxy-0.5.0-r4.apk | 9.4 KiB | 2024-Oct-25 19:02 |
openswitcher-0.5.0-r4.apk | 148.4 KiB | 2024-Oct-25 19:02 |
openspades-doc-0.1.3-r5.apk | 19.6 KiB | 2024-Oct-25 19:02 |
openspades-0.1.3-r5.apk | 11.2 MiB | 2024-Oct-25 19:02 |
opensmtpd-filter-dkimsign-doc-0.6-r1.apk | 3.6 KiB | 2024-Oct-25 19:02 |
opensmtpd-filter-dkimsign-0.6-r1.apk | 15.2 KiB | 2024-Oct-25 19:02 |
opensm-openrc-3.3.24-r2.apk | 2.8 KiB | 2024-Oct-25 19:02 |
opensm-doc-3.3.24-r2.apk | 37.9 KiB | 2024-Oct-25 19:02 |
opensm-dev-3.3.24-r2.apk | 218.8 KiB | 2024-Oct-25 19:02 |
opensm-3.3.24-r2.apk | 467.3 KiB | 2024-Oct-25 19:02 |
openslide-tools-3.4.1-r3.apk | 9.1 KiB | 2024-Oct-25 19:02 |
openslide-doc-3.4.1-r3.apk | 4.9 KiB | 2024-Oct-25 19:02 |
openslide-dev-3.4.1-r3.apk | 6.9 KiB | 2024-Oct-25 19:02 |
openslide-3.4.1-r3.apk | 80.1 KiB | 2024-Oct-25 19:02 |
openscap-daemon-pyc-0.1.10-r9.apk | 101.9 KiB | 2024-Oct-25 19:02 |
openscap-daemon-doc-0.1.10-r9.apk | 17.5 KiB | 2024-Oct-25 19:02 |
openscap-daemon-0.1.10-r9.apk | 60.2 KiB | 2024-Oct-25 19:02 |
openra-20231010-r0.apk | 23.3 MiB | 2024-Oct-25 19:02 |
openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-25 19:02 |
openocd-riscv-doc-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-25 19:02 |
openocd-riscv-dev-0_git20230104-r2.apk | 3.7 KiB | 2024-Oct-25 19:02 |
openocd-riscv-0_git20230104-r2.apk | 1.5 MiB | 2024-Oct-25 19:02 |
openocd-git-udev-rules-0_git20240113-r1.apk | 3.4 KiB | 2024-Oct-25 19:02 |
openocd-git-doc-0_git20240113-r1.apk | 3.3 KiB | 2024-Oct-25 19:02 |
openocd-git-dev-0_git20240113-r1.apk | 3.4 KiB | 2024-Oct-25 19:02 |
openocd-git-dbg-0_git20240113-r1.apk | 4.1 MiB | 2024-Oct-25 19:02 |
openocd-git-cmd-openocd-0_git20240113-r1.apk | 1.5 KiB | 2024-Oct-25 19:02 |
openocd-git-0_git20240113-r1.apk | 1.6 MiB | 2024-Oct-25 19:02 |
openocd-esp32-udev-rules-0_git20230921-r5.apk | 3.4 KiB | 2024-Oct-25 19:02 |
openocd-esp32-doc-0_git20230921-r5.apk | 3.3 KiB | 2024-Oct-25 19:02 |
openocd-esp32-dev-0_git20230921-r5.apk | 3.4 KiB | 2024-Oct-25 19:02 |
openocd-esp32-0_git20230921-r5.apk | 1.7 MiB | 2024-Oct-25 19:02 |
openmg-0.0.9-r0.apk | 105.2 KiB | 2024-Oct-25 19:02 |
openjfx-doc-21.0.3_p1-r0.apk | 96.8 KiB | 2024-Oct-25 19:02 |
openjfx-demos-21.0.3_p1-r0.apk | 28.3 MiB | 2024-Oct-25 19:02 |
openjfx-21.0.3_p1-r0.apk | 8.9 MiB | 2024-Oct-25 19:02 |
openjdk23-static-libs-23.0.1_p11-r0.apk | 19.9 MiB | 2024-Oct-25 19:02 |
openjdk23-src-23.0.1_p11-r0.apk | 47.9 MiB | 2024-Oct-25 19:02 |
openjdk23-jre-headless-23.0.1_p11-r0.apk | 66.4 MiB | 2024-Oct-25 19:02 |
openjdk23-jre-23.0.1_p11-r0.apk | 1.0 MiB | 2024-Oct-25 19:02 |
openjdk23-jmods-23.0.1_p11-r0.apk | 80.6 MiB | 2024-Oct-25 19:02 |
openjdk23-jdk-23.0.1_p11-r0.apk | 6.7 MiB | 2024-Oct-25 19:02 |
openjdk23-doc-23.0.1_p11-r0.apk | 188.3 KiB | 2024-Oct-25 19:02 |
openjdk23-demos-23.0.1_p11-r0.apk | 5.2 MiB | 2024-Oct-25 19:02 |
openjdk23-23.0.1_p11-r0.apk | 1.5 KiB | 2024-Oct-25 19:02 |
openjdk22-static-libs-22.0.2_p9-r2.apk | 20.4 MiB | 2024-Oct-25 19:02 |
openjdk22-src-22.0.2_p9-r2.apk | 48.1 MiB | 2024-Oct-25 19:02 |
openjdk22-jre-headless-22.0.2_p9-r2.apk | 62.0 MiB | 2024-Oct-25 19:02 |
openjdk22-jre-22.0.2_p9-r2.apk | 1.0 MiB | 2024-Oct-25 19:02 |
openjdk22-jmods-22.0.2_p9-r2.apk | 76.6 MiB | 2024-Oct-25 19:02 |
openjdk22-jdk-22.0.2_p9-r2.apk | 6.6 MiB | 2024-Oct-25 19:02 |
openjdk22-doc-22.0.2_p9-r2.apk | 187.0 KiB | 2024-Oct-25 19:02 |
openjdk22-demos-22.0.2_p9-r2.apk | 5.2 MiB | 2024-Oct-25 19:02 |
openjdk22-22.0.2_p9-r2.apk | 1.5 KiB | 2024-Oct-25 19:02 |
openjdk21-mandrel-23.1.4.0-r0.apk | 23.0 MiB | 2024-Oct-27 13:35 |
openfpgaloader-0.11.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:02 |
openfortivpn-doc-1.22.1-r0.apk | 6.3 KiB | 2024-Dec-12 04:50 |
openfortivpn-1.22.1-r0.apk | 40.6 KiB | 2024-Dec-12 04:50 |
openfire-plugins-4.8.1-r1.apk | 72.3 KiB | 2024-Dec-03 15:45 |
openfire-openrc-4.8.1-r1.apk | 1.9 KiB | 2024-Dec-03 15:45 |
openfire-doc-4.8.1-r1.apk | 3.8 MiB | 2024-Dec-03 15:45 |
openfire-4.8.1-r1.apk | 45.8 MiB | 2024-Dec-03 15:45 |
opendht-libs-3.1.7-r5.apk | 576.6 KiB | 2024-Dec-14 21:23 |
opendht-doc-3.1.7-r5.apk | 3.0 KiB | 2024-Dec-14 21:23 |
opendht-dev-3.1.7-r5.apk | 70.6 KiB | 2024-Dec-14 21:23 |
opendht-3.1.7-r5.apk | 182.1 KiB | 2024-Dec-14 21:23 |
openapi-validator-1.19.2-r0.apk | 9.9 MiB | 2024-Oct-25 19:02 |
openapi-tui-0.9.4-r1.apk | 4.1 MiB | 2024-Oct-25 19:02 |
opcr-policy-0.2.21-r0.apk | 8.7 MiB | 2024-Oct-25 19:02 |
opa-zsh-completion-0.70.0-r0.apk | 4.0 KiB | 2024-Nov-10 21:13 |
opa-fish-completion-0.70.0-r0.apk | 4.3 KiB | 2024-Nov-10 21:13 |
opa-doc-0.70.0-r0.apk | 22.8 KiB | 2024-Nov-10 21:13 |
opa-bash-completion-0.70.0-r0.apk | 5.0 KiB | 2024-Nov-10 21:13 |
opa-0.70.0-r0.apk | 10.3 MiB | 2024-Nov-10 21:13 |
onnxruntime-dev-1.19.2-r2.apk | 95.1 KiB | 2024-Nov-11 18:38 |
onnxruntime-1.19.2-r2.apk | 10.4 MiB | 2024-Nov-11 18:38 |
onioncat-doc-4.11.0-r1.apk | 19.2 KiB | 2024-Oct-25 19:02 |
onioncat-4.11.0-r1.apk | 56.9 KiB | 2024-Oct-25 19:02 |
onevpl-intel-gpu-dev-23.2.4-r1.apk | 1.8 KiB | 2024-Oct-25 19:02 |
onevpl-intel-gpu-23.2.4-r1.apk | 2.1 MiB | 2024-Oct-25 19:02 |
one-dnn-doc-3.1-r0.apk | 16.0 KiB | 2024-Oct-25 19:02 |
one-dnn-dev-3.1-r0.apk | 114.1 KiB | 2024-Oct-25 19:02 |
one-dnn-3.1-r0.apk | 15.8 MiB | 2024-Oct-25 19:02 |
ombi-openrc-4.44.1-r0.apk | 1.9 KiB | 2024-Oct-25 19:02 |
ombi-4.44.1-r0.apk | 19.4 MiB | 2024-Oct-25 19:02 |
olsrd-plugins-0.9.8-r3.apk | 173.2 KiB | 2024-Oct-25 19:02 |
olsrd-openrc-0.9.8-r3.apk | 1.9 KiB | 2024-Oct-25 19:02 |
olsrd-doc-0.9.8-r3.apk | 25.1 KiB | 2024-Oct-25 19:02 |
olsrd-0.9.8-r3.apk | 165.7 KiB | 2024-Oct-25 19:02 |
olab-0.1.8-r0.apk | 3.4 MiB | 2024-Oct-25 19:02 |
ol-doc-2.4-r0.apk | 2.6 KiB | 2024-Oct-25 19:02 |
ol-2.4-r0.apk | 986.6 KiB | 2024-Oct-25 19:02 |
oil-doc-0.21.0-r0.apk | 7.0 KiB | 2024-Oct-25 19:02 |
oil-0.21.0-r0.apk | 1.5 MiB | 2024-Oct-25 19:02 |
odyssey-openrc-1.3-r3.apk | 2.0 KiB | 2024-Oct-25 19:02 |
odyssey-1.3-r3.apk | 124.6 KiB | 2024-Oct-25 19:02 |
odin-vendor-0.2024.12-r0.apk | 19.5 MiB | 2024-Dec-07 20:27 |
odin-doc-0.2024.12-r0.apk | 4.7 KiB | 2024-Dec-07 20:27 |
odin-0.2024.12-r0.apk | 2.8 MiB | 2024-Dec-07 20:27 |
ode-0.16.5-r0.apk | 566.7 KiB | 2024-Oct-25 19:02 |
octoprint-pyc-1.10.1-r1.apk | 1.2 MiB | 2024-Oct-25 19:02 |
octoprint-pisupport-pyc-2023.10.10-r1.apk | 12.8 KiB | 2024-Oct-25 19:02 |
octoprint-pisupport-2023.10.10-r1.apk | 30.8 KiB | 2024-Oct-25 19:02 |
octoprint-openrc-1.10.1-r1.apk | 1.7 KiB | 2024-Oct-25 19:02 |
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 17.6 KiB | 2024-Oct-25 19:02 |
octoprint-firmwarecheck-2021.10.11-r2.apk | 29.3 KiB | 2024-Oct-25 19:02 |
octoprint-filecheck-pyc-2024.3.27-r1.apk | 11.3 KiB | 2024-Oct-25 19:02 |
octoprint-filecheck-2024.3.27-r1.apk | 27.9 KiB | 2024-Oct-25 19:02 |
octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.3 KiB | 2024-Oct-25 19:02 |
octoprint-creality2xfix-0.0.4-r2.apk | 4.7 KiB | 2024-Oct-25 19:02 |
octoprint-1.10.1-r1.apk | 3.1 MiB | 2024-Oct-25 19:02 |
ocp-index-vim-1.3.6-r0.apk | 3.1 KiB | 2024-Oct-25 19:02 |
ocp-index-emacs-1.3.6-r0.apk | 6.3 KiB | 2024-Oct-25 19:02 |
ocp-index-doc-1.3.6-r0.apk | 30.7 KiB | 2024-Oct-25 19:02 |
ocp-index-1.3.6-r0.apk | 5.5 MiB | 2024-Oct-25 19:02 |
ocp-indent-vim-1.8.2-r2.apk | 2.4 KiB | 2024-Oct-25 19:02 |
ocp-indent-emacs-1.8.2-r2.apk | 3.7 KiB | 2024-Oct-25 19:02 |
ocp-indent-doc-1.8.2-r2.apk | 17.0 KiB | 2024-Oct-25 19:02 |
ocp-indent-1.8.2-r2.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocfs2-tools-doc-1.8.7-r4.apk | 69.4 KiB | 2024-Oct-25 19:02 |
ocfs2-tools-dev-1.8.7-r4.apk | 45.0 KiB | 2024-Oct-25 19:02 |
ocfs2-tools-1.8.7-r4.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocamlnet-tcl-4.1.9-r2.apk | 51.5 KiB | 2024-Oct-25 19:02 |
ocamlnet-dev-4.1.9-r2.apk | 5.7 MiB | 2024-Oct-25 19:02 |
ocamlnet-4.1.9-r2.apk | 16.4 MiB | 2024-Oct-25 19:02 |
ocaml-zed-dev-3.1.0-r3.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocaml-zed-3.1.0-r3.apk | 518.5 KiB | 2024-Oct-25 19:02 |
ocaml-yojson-dev-2.1.2-r0.apk | 1.7 MiB | 2024-Oct-25 19:02 |
ocaml-yojson-2.1.2-r0.apk | 1.0 MiB | 2024-Oct-25 19:02 |
ocaml-xtmpl-dev-0.19.0-r0.apk | 773.8 KiB | 2024-Oct-25 19:02 |
ocaml-xtmpl-0.19.0-r0.apk | 8.8 MiB | 2024-Oct-25 19:02 |
ocaml-xmlm-dev-1.4.0-r2.apk | 99.5 KiB | 2024-Oct-25 19:02 |
ocaml-xmlm-1.4.0-r2.apk | 562.7 KiB | 2024-Oct-25 19:02 |
ocaml-xml-light-dev-2.5-r0.apk | 387.4 KiB | 2024-Oct-25 19:02 |
ocaml-xml-light-2.5-r0.apk | 217.3 KiB | 2024-Oct-25 19:02 |
ocaml-x509-dev-0.16.0-r2.apk | 1.5 MiB | 2024-Oct-25 19:02 |
ocaml-x509-0.16.0-r2.apk | 828.9 KiB | 2024-Oct-25 19:02 |
ocaml-uutf-dev-1.0.3-r2.apk | 55.8 KiB | 2024-Oct-25 19:02 |
ocaml-uutf-1.0.3-r2.apk | 627.5 KiB | 2024-Oct-25 19:02 |
ocaml-uuseg-tools-14.0.0-r2.apk | 1.3 MiB | 2024-Oct-25 19:02 |
ocaml-uuseg-dev-14.0.0-r2.apk | 52.3 KiB | 2024-Oct-25 19:02 |
ocaml-uuseg-14.0.0-r2.apk | 93.0 KiB | 2024-Oct-25 19:02 |
ocaml-uunf-dev-14.0.0-r2.apk | 194.3 KiB | 2024-Oct-25 19:02 |
ocaml-uunf-14.0.0-r2.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocaml-uuidm-tools-0.9.8-r2.apk | 448.2 KiB | 2024-Oct-25 19:02 |
ocaml-uuidm-dev-0.9.8-r2.apk | 24.7 KiB | 2024-Oct-25 19:02 |
ocaml-uuidm-0.9.8-r2.apk | 45.3 KiB | 2024-Oct-25 19:02 |
ocaml-uucp-dev-14.0.0-r2.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocaml-uucp-14.0.0-r2.apk | 5.1 MiB | 2024-Oct-25 19:02 |
ocaml-uucd-dev-14.0.0-r2.apk | 159.7 KiB | 2024-Oct-25 19:02 |
ocaml-uucd-14.0.0-r2.apk | 269.0 KiB | 2024-Oct-25 19:02 |
ocaml-utop-dev-2.9.1-r4.apk | 762.8 KiB | 2024-Oct-25 19:02 |
ocaml-utop-2.9.1-r4.apk | 349.2 KiB | 2024-Oct-25 19:02 |
ocaml-uri-dev-4.2.0-r2.apk | 4.3 MiB | 2024-Oct-25 19:02 |
ocaml-uri-4.2.0-r2.apk | 1.4 MiB | 2024-Oct-25 19:02 |
ocaml-tsdl-ttf-dev-0.6-r0.apk | 107.6 KiB | 2024-Oct-25 19:02 |
ocaml-tsdl-ttf-0.6-r0.apk | 63.2 KiB | 2024-Oct-25 19:02 |
ocaml-tsdl-image-dev-0.6-r0.apk | 77.2 KiB | 2024-Oct-25 19:02 |
ocaml-tsdl-image-0.6-r0.apk | 48.6 KiB | 2024-Oct-25 19:02 |
ocaml-tsdl-dev-1.0.0-r0.apk | 551.8 KiB | 2024-Oct-25 19:02 |
ocaml-tsdl-1.0.0-r0.apk | 909.1 KiB | 2024-Oct-25 19:02 |
ocaml-trie-dev-1.0.0-r2.apk | 25.7 KiB | 2024-Oct-25 19:02 |
ocaml-trie-1.0.0-r2.apk | 16.1 KiB | 2024-Oct-25 19:02 |
ocaml-topkg-dev-1.0.5-r2.apk | 408.8 KiB | 2024-Oct-25 19:02 |
ocaml-topkg-1.0.5-r2.apk | 613.3 KiB | 2024-Oct-25 19:02 |
ocaml-tophide-1.0.4-r2.apk | 5.7 KiB | 2024-Oct-25 19:02 |
ocaml-tls-dev-0.15.3-r4.apk | 2.3 MiB | 2024-Oct-25 19:02 |
ocaml-tls-0.15.3-r4.apk | 1.2 MiB | 2024-Oct-25 19:02 |
ocaml-tcpip-dev-7.1.2-r3.apk | 2.4 MiB | 2024-Oct-25 19:02 |
ocaml-tcpip-7.1.2-r3.apk | 1.2 MiB | 2024-Oct-25 19:02 |
ocaml-stringext-dev-1.6.0-r2.apk | 78.2 KiB | 2024-Oct-25 19:02 |
ocaml-stringext-1.6.0-r2.apk | 43.2 KiB | 2024-Oct-25 19:02 |
ocaml-stk-dev-0.1.0-r0.apk | 9.9 MiB | 2024-Oct-25 19:02 |
ocaml-stk-0.1.0-r0.apk | 6.1 MiB | 2024-Oct-25 19:02 |
ocaml-stdlib-shims-0.3.0-r2.apk | 4.2 KiB | 2024-Oct-25 19:02 |
ocaml-ssl-dev-0.7.0-r0.apk | 208.8 KiB | 2024-Oct-25 19:02 |
ocaml-ssl-0.7.0-r0.apk | 94.7 KiB | 2024-Oct-25 19:02 |
ocaml-sha-dev-1.15.4-r0.apk | 231.4 KiB | 2024-Oct-25 19:02 |
ocaml-sha-1.15.4-r0.apk | 62.4 KiB | 2024-Oct-25 19:02 |
ocaml-sexplib0-dev-0.16.0-r0.apk | 337.6 KiB | 2024-Oct-25 19:02 |
ocaml-sexplib0-0.16.0-r0.apk | 171.5 KiB | 2024-Oct-25 19:02 |
ocaml-sexplib-dev-0.16.0-r0.apk | 826.5 KiB | 2024-Oct-25 19:02 |
ocaml-sexplib-0.16.0-r0.apk | 486.4 KiB | 2024-Oct-25 19:02 |
ocaml-seq-dev-0.3.1-r2.apk | 20.5 KiB | 2024-Oct-25 19:02 |
ocaml-seq-0.3.1-r2.apk | 14.5 KiB | 2024-Oct-25 19:02 |
ocaml-sedlex-dev-3.2-r0.apk | 1.5 MiB | 2024-Oct-25 19:02 |
ocaml-sedlex-3.2-r0.apk | 4.1 MiB | 2024-Oct-25 19:02 |
ocaml-rresult-dev-0.7.0-r2.apk | 36.0 KiB | 2024-Oct-25 19:02 |
ocaml-rresult-0.7.0-r2.apk | 39.1 KiB | 2024-Oct-25 19:02 |
ocaml-result-dev-1.5-r2.apk | 8.1 KiB | 2024-Oct-25 19:02 |
ocaml-result-1.5-r2.apk | 9.0 KiB | 2024-Oct-25 19:02 |
ocaml-reason-dev-3.8.2-r1.apk | 28.7 MiB | 2024-Oct-25 19:02 |
ocaml-reason-3.8.2-r1.apk | 15.3 MiB | 2024-Oct-25 19:02 |
ocaml-react-dev-1.2.2-r2.apk | 194.2 KiB | 2024-Oct-25 19:02 |
ocaml-react-1.2.2-r2.apk | 282.7 KiB | 2024-Oct-25 19:02 |
ocaml-re-dev-1.11.0-r1.apk | 984.1 KiB | 2024-Oct-25 19:02 |
ocaml-re-1.11.0-r1.apk | 526.3 KiB | 2024-Oct-25 19:02 |
ocaml-randomconv-dev-0.1.3-r2.apk | 18.2 KiB | 2024-Oct-25 19:02 |
ocaml-randomconv-0.1.3-r2.apk | 13.8 KiB | 2024-Oct-25 19:02 |
ocaml-qtest-dev-2.11.2-r3.apk | 3.6 KiB | 2024-Oct-25 19:02 |
ocaml-qtest-2.11.2-r3.apk | 331.2 KiB | 2024-Oct-25 19:02 |
ocaml-qcheck-dev-0.18.1-r3.apk | 1.3 MiB | 2024-Oct-25 19:02 |
ocaml-qcheck-0.18.1-r3.apk | 669.7 KiB | 2024-Oct-25 19:02 |
ocaml-ptmap-dev-2.0.5-r3.apk | 94.9 KiB | 2024-Oct-25 19:02 |
ocaml-ptmap-2.0.5-r3.apk | 54.3 KiB | 2024-Oct-25 19:02 |
ocaml-ptime-dev-1.0.0-r2.apk | 74.8 KiB | 2024-Oct-25 19:02 |
ocaml-ptime-1.0.0-r2.apk | 110.6 KiB | 2024-Oct-25 19:02 |
ocaml-psq-dev-0.2.0-r2.apk | 172.7 KiB | 2024-Oct-25 19:02 |
ocaml-psq-0.2.0-r2.apk | 96.0 KiB | 2024-Oct-25 19:02 |
ocaml-ppxlib-dev-0.32.0-r0.apk | 16.0 MiB | 2024-Oct-25 19:02 |
ocaml-ppxlib-0.32.0-r0.apk | 12.7 MiB | 2024-Oct-25 19:02 |
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocaml-ppx_sexp_conv-0.16.0-r0.apk | 568.7 KiB | 2024-Oct-25 19:02 |
ocaml-ppx_deriving-dev-5.3.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:02 |
ocaml-ppx_deriving-5.3.0-r0.apk | 4.9 MiB | 2024-Oct-25 19:02 |
ocaml-ppx_derivers-dev-1.2.1-r2.apk | 11.5 KiB | 2024-Oct-25 19:02 |
ocaml-ppx_derivers-1.2.1-r2.apk | 9.8 KiB | 2024-Oct-25 19:02 |
ocaml-ppx_blob-dev-0.8.0-r0.apk | 19.1 KiB | 2024-Oct-25 19:02 |
ocaml-ppx_blob-0.8.0-r0.apk | 3.7 MiB | 2024-Oct-25 19:02 |
ocaml-pcre-dev-7.5.0-r4.apk | 304.9 KiB | 2024-Oct-25 19:02 |
ocaml-pcre-7.5.0-r4.apk | 150.0 KiB | 2024-Oct-25 19:02 |
ocaml-pbkdf-dev-1.2.0-r2.apk | 25.7 KiB | 2024-Oct-25 19:02 |
ocaml-pbkdf-1.2.0-r2.apk | 17.6 KiB | 2024-Oct-25 19:02 |
ocaml-parsexp-dev-0.16.0-r0.apk | 939.5 KiB | 2024-Oct-25 19:02 |
ocaml-parsexp-0.16.0-r0.apk | 389.0 KiB | 2024-Oct-25 19:02 |
ocaml-ounit-dev-2.2.7-r3.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocaml-ounit-2.2.7-r3.apk | 538.5 KiB | 2024-Oct-25 19:02 |
ocaml-otr-dev-0.3.10-r2.apk | 525.4 KiB | 2024-Oct-25 19:02 |
ocaml-otr-0.3.10-r2.apk | 281.4 KiB | 2024-Oct-25 19:02 |
ocaml-otoml-dev-1.0.5-r0.apk | 779.8 KiB | 2024-Oct-25 19:02 |
ocaml-otoml-1.0.5-r0.apk | 441.6 KiB | 2024-Oct-25 19:02 |
ocaml-omod-dev-0.0.3-r3.apk | 213.3 KiB | 2024-Oct-25 19:02 |
ocaml-omod-bin-0.0.3-r3.apk | 2.7 MiB | 2024-Oct-25 19:02 |
ocaml-omod-0.0.3-r3.apk | 332.9 KiB | 2024-Oct-25 19:02 |
ocaml-omake-doc-0.10.6-r0.apk | 8.0 KiB | 2024-Oct-25 19:02 |
ocaml-omake-0.10.6-r0.apk | 1.6 MiB | 2024-Oct-25 19:02 |
ocaml-ocplib-endian-dev-1.2-r3.apk | 258.6 KiB | 2024-Oct-25 19:02 |
ocaml-ocplib-endian-1.2-r3.apk | 154.8 KiB | 2024-Oct-25 19:02 |
ocaml-ocp-index-dev-1.3.6-r0.apk | 529.3 KiB | 2024-Oct-25 19:02 |
ocaml-ocp-index-1.3.6-r0.apk | 466.4 KiB | 2024-Oct-25 19:02 |
ocaml-ocp-indent-dev-1.8.2-r2.apk | 638.4 KiB | 2024-Oct-25 19:02 |
ocaml-ocp-indent-1.8.2-r2.apk | 541.7 KiB | 2024-Oct-25 19:02 |
ocaml-ocf-dev-0.8.0-r3.apk | 320.2 KiB | 2024-Oct-25 19:02 |
ocaml-ocf-0.8.0-r3.apk | 7.8 MiB | 2024-Oct-25 19:02 |
ocaml-obuild-0.1.11-r0.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocaml-num-dev-1.4-r3.apk | 94.0 KiB | 2024-Oct-25 19:02 |
ocaml-num-1.4-r3.apk | 255.3 KiB | 2024-Oct-25 19:02 |
ocaml-notty-dev-0.2.3-r0.apk | 612.5 KiB | 2024-Oct-25 19:02 |
ocaml-notty-0.2.3-r0.apk | 313.6 KiB | 2024-Oct-25 19:02 |
ocaml-mtime-dev-1.4.0-r2.apk | 49.0 KiB | 2024-Oct-25 19:02 |
ocaml-mtime-1.4.0-r2.apk | 48.3 KiB | 2024-Oct-25 19:02 |
ocaml-mqtt-dev-0.2.2-r0.apk | 258.1 KiB | 2024-Oct-25 19:02 |
ocaml-mqtt-0.2.2-r0.apk | 156.7 KiB | 2024-Oct-25 19:02 |
ocaml-mmap-dev-1.2.0-r3.apk | 6.7 KiB | 2024-Oct-25 19:02 |
ocaml-mmap-1.2.0-r3.apk | 7.3 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-time-dev-3.0.0-r4.apk | 8.5 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-time-3.0.0-r4.apk | 12.3 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-random-dev-3.0.0-r3.apk | 5.4 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-random-3.0.0-r3.apk | 7.0 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-profile-dev-0.9.1-r3.apk | 32.1 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-profile-0.9.1-r3.apk | 24.8 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-net-dev-4.0.0-r3.apk | 19.9 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-net-4.0.0-r3.apk | 12.4 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-kv-dev-4.0.1-r3.apk | 43.5 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-kv-4.0.1-r3.apk | 20.5 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-flow-dev-3.0.0-r3.apk | 195.3 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-flow-3.0.0-r3.apk | 111.0 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-crypto-dev-0.10.6-r3.apk | 3.2 MiB | 2024-Oct-25 19:02 |
ocaml-mirage-crypto-0.10.6-r3.apk | 1.1 MiB | 2024-Oct-25 19:02 |
ocaml-mirage-clock-dev-4.2.0-r2.apk | 35.6 KiB | 2024-Oct-25 19:02 |
ocaml-mirage-clock-4.2.0-r2.apk | 28.9 KiB | 2024-Oct-25 19:02 |
ocaml-mikmatch-1.0.9-r2.apk | 145.5 KiB | 2024-Oct-25 19:02 |
ocaml-mew_vi-dev-0.5.0-r3.apk | 295.8 KiB | 2024-Oct-25 19:02 |
ocaml-mew_vi-0.5.0-r3.apk | 183.8 KiB | 2024-Oct-25 19:02 |
ocaml-mew-dev-0.1.0-r3.apk | 97.7 KiB | 2024-Oct-25 19:02 |
ocaml-mew-0.1.0-r3.apk | 70.4 KiB | 2024-Oct-25 19:02 |
ocaml-metrics-dev-0.4.0-r3.apk | 452.3 KiB | 2024-Oct-25 19:02 |
ocaml-metrics-0.4.0-r3.apk | 246.7 KiB | 2024-Oct-25 19:02 |
ocaml-merlin-extend-dev-0.6.1-r2.apk | 92.4 KiB | 2024-Oct-25 19:02 |
ocaml-merlin-extend-0.6.1-r2.apk | 49.7 KiB | 2024-Oct-25 19:02 |
ocaml-menhir-doc-20220210-r3.apk | 609.8 KiB | 2024-Dec-08 16:20 |
ocaml-menhir-dev-20220210-r3.apk | 899.4 KiB | 2024-Dec-08 16:20 |
ocaml-menhir-20220210-r3.apk | 1.3 MiB | 2024-Dec-08 16:20 |
ocaml-markup-dev-1.0.3-r3.apk | 2.1 MiB | 2024-Oct-25 19:02 |
ocaml-markup-1.0.3-r3.apk | 1016.8 KiB | 2024-Oct-25 19:02 |
ocaml-magic-mime-dev-1.3.1-r0.apk | 307.1 KiB | 2024-Oct-25 19:02 |
ocaml-magic-mime-1.3.1-r0.apk | 203.4 KiB | 2024-Oct-25 19:02 |
ocaml-lwt_ssl-dev-1.2.0-r0.apk | 47.7 KiB | 2024-Oct-25 19:02 |
ocaml-lwt_ssl-1.2.0-r0.apk | 29.9 KiB | 2024-Oct-25 19:02 |
ocaml-lwt_react-5.7.0-r0.apk | 120.6 KiB | 2024-Oct-25 19:02 |
ocaml-lwt_ppx-5.7.0-r0.apk | 3.8 MiB | 2024-Oct-25 19:02 |
ocaml-lwt_log-dev-1.1.1-r5.apk | 257.9 KiB | 2024-Oct-25 19:02 |
ocaml-lwt_log-1.1.1-r5.apk | 134.2 KiB | 2024-Oct-25 19:02 |
ocaml-lwt-dllist-dev-1.0.1-r3.apk | 40.5 KiB | 2024-Oct-25 19:02 |
ocaml-lwt-dllist-1.0.1-r3.apk | 23.1 KiB | 2024-Oct-25 19:02 |
ocaml-lwt-dev-5.7.0-r0.apk | 3.2 MiB | 2024-Oct-25 19:02 |
ocaml-lwt-5.7.0-r0.apk | 1.2 MiB | 2024-Oct-25 19:02 |
ocaml-lwd-dev-0.3-r0.apk | 1023.4 KiB | 2024-Oct-25 19:02 |
ocaml-lwd-0.3-r0.apk | 492.1 KiB | 2024-Oct-25 19:02 |
ocaml-lru-dev-0.3.0-r2.apk | 164.1 KiB | 2024-Oct-25 19:02 |
ocaml-lru-0.3.0-r2.apk | 76.7 KiB | 2024-Oct-25 19:02 |
ocaml-logs-dev-0.7.0-r3.apk | 89.4 KiB | 2024-Oct-25 19:02 |
ocaml-logs-0.7.0-r3.apk | 118.2 KiB | 2024-Oct-25 19:02 |
ocaml-libvirt-doc-0.6.1.7-r0.apk | 13.7 KiB | 2024-Oct-25 19:02 |
ocaml-libvirt-dev-0.6.1.7-r0.apk | 94.2 KiB | 2024-Oct-25 19:02 |
ocaml-libvirt-0.6.1.7-r0.apk | 175.5 KiB | 2024-Oct-25 19:02 |
ocaml-lambdasoup-dev-0.7.3-r2.apk | 347.1 KiB | 2024-Oct-25 19:02 |
ocaml-lambdasoup-0.7.3-r2.apk | 189.8 KiB | 2024-Oct-25 19:02 |
ocaml-lambda-term-doc-3.2.0-r4.apk | 8.8 KiB | 2024-Oct-25 19:02 |
ocaml-lambda-term-dev-3.2.0-r4.apk | 3.8 MiB | 2024-Oct-25 19:02 |
ocaml-lambda-term-3.2.0-r4.apk | 3.4 MiB | 2024-Oct-25 19:02 |
ocaml-labltk-dev-8.06.12-r2.apk | 1.4 MiB | 2024-Oct-25 19:02 |
ocaml-labltk-8.06.12-r2.apk | 2.9 MiB | 2024-Oct-25 19:02 |
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk | 1.5 MiB | 2024-Oct-25 19:02 |
ocaml-lablgtk3-extras-3.0.1-r2.apk | 887.2 KiB | 2024-Oct-25 19:02 |
ocaml-lablgtk3-dev-3.1.2-r3.apk | 13.9 MiB | 2024-Oct-25 19:02 |
ocaml-lablgtk3-3.1.2-r3.apk | 7.9 MiB | 2024-Oct-25 19:02 |
ocaml-jsonm-tools-1.0.2-r0.apk | 447.5 KiB | 2024-Oct-25 19:02 |
ocaml-jsonm-dev-1.0.2-r0.apk | 67.7 KiB | 2024-Oct-25 19:02 |
ocaml-jsonm-1.0.2-r0.apk | 118.9 KiB | 2024-Oct-25 19:02 |
ocaml-iso8601-dev-0.2.6-r0.apk | 87.9 KiB | 2024-Oct-25 19:02 |
ocaml-iso8601-0.2.6-r0.apk | 53.3 KiB | 2024-Oct-25 19:02 |
ocaml-iri-dev-1.0.0-r0.apk | 774.3 KiB | 2024-Oct-25 19:02 |
ocaml-iri-1.0.0-r0.apk | 1.8 MiB | 2024-Oct-25 19:02 |
ocaml-ipaddr-dev-5.3.1-r2.apk | 560.6 KiB | 2024-Oct-25 19:02 |
ocaml-ipaddr-5.3.1-r2.apk | 314.2 KiB | 2024-Oct-25 19:02 |
ocaml-integers-dev-0.7.0-r2.apk | 273.3 KiB | 2024-Oct-25 19:02 |
ocaml-integers-0.7.0-r2.apk | 127.0 KiB | 2024-Oct-25 19:02 |
ocaml-hkdf-dev-1.0.4-r2.apk | 18.7 KiB | 2024-Oct-25 19:02 |
ocaml-hkdf-1.0.4-r2.apk | 14.0 KiB | 2024-Oct-25 19:02 |
ocaml-higlo-dev-0.9-r0.apk | 679.4 KiB | 2024-Oct-25 19:02 |
ocaml-higlo-0.9-r0.apk | 4.9 MiB | 2024-Oct-25 19:02 |
ocaml-hex-dev-1.5.0-r2.apk | 54.4 KiB | 2024-Oct-25 19:02 |
ocaml-hex-1.5.0-r2.apk | 30.1 KiB | 2024-Oct-25 19:02 |
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk | 2.4 MiB | 2024-Oct-25 19:02 |
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk | 59.7 KiB | 2024-Oct-25 19:02 |
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk | 42.6 KiB | 2024-Oct-25 19:02 |
ocaml-happy-eyeballs-dev-0.3.0-r2.apk | 147.9 KiB | 2024-Oct-25 19:02 |
ocaml-happy-eyeballs-0.3.0-r2.apk | 83.7 KiB | 2024-Oct-25 19:02 |
ocaml-gmap-dev-0.3.0-r2.apk | 75.0 KiB | 2024-Oct-25 19:02 |
ocaml-gmap-0.3.0-r2.apk | 36.6 KiB | 2024-Oct-25 19:02 |
ocaml-gitlab-dev-0.1.8-r0.apk | 11.5 MiB | 2024-Oct-25 19:02 |
ocaml-gitlab-0.1.8-r0.apk | 2.9 MiB | 2024-Oct-25 19:02 |
ocaml-gettext-doc-0.4.2-r3.apk | 19.2 KiB | 2024-Oct-25 19:02 |
ocaml-gettext-dev-0.4.2-r3.apk | 734.8 KiB | 2024-Oct-25 19:02 |
ocaml-gettext-0.4.2-r3.apk | 3.4 MiB | 2024-Oct-25 19:02 |
ocaml-gen-dev-1.1-r1.apk | 612.2 KiB | 2024-Oct-25 19:02 |
ocaml-gen-1.1-r1.apk | 323.4 KiB | 2024-Oct-25 19:02 |
ocaml-fpath-dev-0.7.3-r2.apk | 100.7 KiB | 2024-Oct-25 19:02 |
ocaml-fpath-0.7.3-r2.apk | 138.0 KiB | 2024-Oct-25 19:02 |
ocaml-fmt-dev-0.9.0-r2.apk | 123.8 KiB | 2024-Oct-25 19:02 |
ocaml-fmt-0.9.0-r2.apk | 189.9 KiB | 2024-Oct-25 19:02 |
ocaml-fix-dev-20220121-r2.apk | 434.0 KiB | 2024-Oct-25 19:02 |
ocaml-fix-20220121-r2.apk | 167.4 KiB | 2024-Oct-25 19:02 |
ocaml-fileutils-doc-0.6.4-r2.apk | 15.8 KiB | 2024-Oct-25 19:02 |
ocaml-fileutils-dev-0.6.4-r2.apk | 606.1 KiB | 2024-Oct-25 19:02 |
ocaml-fileutils-0.6.4-r2.apk | 312.7 KiB | 2024-Oct-25 19:02 |
ocaml-ezxmlm-dev-1.1.0-r0.apk | 52.6 KiB | 2024-Oct-25 19:02 |
ocaml-ezxmlm-1.1.0-r0.apk | 32.4 KiB | 2024-Oct-25 19:02 |
ocaml-extlib-doc-1.7.9-r2.apk | 10.6 KiB | 2024-Oct-25 19:02 |
ocaml-extlib-dev-1.7.9-r2.apk | 1.3 MiB | 2024-Oct-25 19:02 |
ocaml-extlib-1.7.9-r2.apk | 638.1 KiB | 2024-Oct-25 19:02 |
ocaml-ethernet-dev-3.0.0-r3.apk | 84.2 KiB | 2024-Oct-25 19:02 |
ocaml-ethernet-3.0.0-r3.apk | 44.5 KiB | 2024-Oct-25 19:02 |
ocaml-erm_xmpp-dev-0_git20220404-r2.apk | 1.6 MiB | 2024-Oct-25 19:02 |
ocaml-erm_xmpp-0_git20220404-r2.apk | 1.3 MiB | 2024-Oct-25 19:02 |
ocaml-erm_xml-dev-0_git20211229-r2.apk | 791.5 KiB | 2024-Oct-25 19:02 |
ocaml-erm_xml-0_git20211229-r2.apk | 582.3 KiB | 2024-Oct-25 19:02 |
ocaml-eqaf-dev-0.8-r2.apk | 122.3 KiB | 2024-Oct-25 19:02 |
ocaml-eqaf-0.8-r2.apk | 69.1 KiB | 2024-Oct-25 19:02 |
ocaml-easy-format-dev-1.3.4-r1.apk | 112.9 KiB | 2024-Oct-25 19:02 |
ocaml-easy-format-1.3.4-r1.apk | 61.1 KiB | 2024-Oct-25 19:02 |
ocaml-duration-dev-0.2.0-r2.apk | 43.9 KiB | 2024-Oct-25 19:02 |
ocaml-duration-0.2.0-r2.apk | 26.2 KiB | 2024-Oct-25 19:02 |
ocaml-down-dev-0.1.0-r3.apk | 276.2 KiB | 2024-Oct-25 19:02 |
ocaml-down-0.1.0-r3.apk | 604.6 KiB | 2024-Oct-25 19:02 |
ocaml-domain-name-dev-0.4.0-r2.apk | 141.5 KiB | 2024-Oct-25 19:02 |
ocaml-domain-name-0.4.0-r2.apk | 74.2 KiB | 2024-Oct-25 19:02 |
ocaml-dns-tools-6.2.2-r3.apk | 10.7 MiB | 2024-Oct-25 19:02 |
ocaml-dns-dev-6.2.2-r3.apk | 4.1 MiB | 2024-Oct-25 19:02 |
ocaml-dns-6.2.2-r3.apk | 2.2 MiB | 2024-Oct-25 19:02 |
ocaml-curses-dev-1.0.10-r2.apk | 310.1 KiB | 2024-Oct-25 19:02 |
ocaml-curses-1.0.10-r2.apk | 138.2 KiB | 2024-Oct-25 19:02 |
ocaml-ctypes-dev-0.20.1-r2.apk | 872.1 KiB | 2024-Oct-25 19:02 |
ocaml-ctypes-0.20.1-r2.apk | 878.2 KiB | 2024-Oct-25 19:02 |
ocaml-cstruct-dev-6.1.0-r3.apk | 705.1 KiB | 2024-Oct-25 19:02 |
ocaml-cstruct-6.1.0-r3.apk | 4.1 MiB | 2024-Oct-25 19:02 |
ocaml-containers-top-3.7-r2.apk | 23.0 KiB | 2024-Oct-25 19:02 |
ocaml-containers-dev-3.7-r2.apk | 6.7 MiB | 2024-Oct-25 19:02 |
ocaml-containers-3.7-r2.apk | 3.5 MiB | 2024-Oct-25 19:02 |
ocaml-conduit-dev-6.1.0-r0.apk | 545.9 KiB | 2024-Oct-25 19:02 |
ocaml-conduit-6.1.0-r0.apk | 289.3 KiB | 2024-Oct-25 19:02 |
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk | 104.7 KiB | 2024-Oct-25 19:02 |
ocaml-compiler-libs-repackaged-0.12.4-r3.apk | 77.2 KiB | 2024-Oct-25 19:02 |
ocaml-cohttp-tools-5.3.1-r0.apk | 7.6 MiB | 2024-Oct-25 19:02 |
ocaml-cohttp-dev-5.3.1-r0.apk | 1.3 MiB | 2024-Oct-25 19:02 |
ocaml-cohttp-5.3.1-r0.apk | 669.6 KiB | 2024-Oct-25 19:02 |
ocaml-cmdliner-doc-1.1.1-r3.apk | 19.8 KiB | 2024-Oct-25 19:02 |
ocaml-cmdliner-dev-1.1.1-r3.apk | 244.7 KiB | 2024-Oct-25 19:02 |
ocaml-cmdliner-1.1.1-r3.apk | 468.5 KiB | 2024-Oct-25 19:02 |
ocaml-charinfo_width-dev-1.1.0-r3.apk | 190.5 KiB | 2024-Oct-25 19:02 |
ocaml-charinfo_width-1.1.0-r3.apk | 104.4 KiB | 2024-Oct-25 19:02 |
ocaml-camomile-dev-1.0.2-r3.apk | 2.5 MiB | 2024-Oct-25 19:02 |
ocaml-camomile-data-1.0.2-r3.apk | 5.1 MiB | 2024-Oct-25 19:02 |
ocaml-camomile-1.0.2-r3.apk | 1.3 MiB | 2024-Oct-25 19:02 |
ocaml-camlzip-dev-1.11-r2.apk | 217.0 KiB | 2024-Oct-25 19:02 |
ocaml-camlzip-1.11-r2.apk | 113.6 KiB | 2024-Oct-25 19:02 |
ocaml-calendar-doc-2.04-r4.apk | 11.9 KiB | 2024-Oct-25 19:02 |
ocaml-calendar-dev-2.04-r4.apk | 147.3 KiB | 2024-Oct-25 19:02 |
ocaml-calendar-2.04-r4.apk | 216.7 KiB | 2024-Oct-25 19:02 |
ocaml-cairo2-dev-0.6.2-r2.apk | 441.4 KiB | 2024-Oct-25 19:02 |
ocaml-cairo2-0.6.2-r2.apk | 164.0 KiB | 2024-Oct-25 19:02 |
ocaml-ca-certs-nss-tools-3.89.1-r1.apk | 1.5 MiB | 2024-Oct-25 19:02 |
ocaml-ca-certs-nss-dev-3.89.1-r1.apk | 447.9 KiB | 2024-Oct-25 19:02 |
ocaml-ca-certs-nss-3.89.1-r1.apk | 394.8 KiB | 2024-Oct-25 19:02 |
ocaml-ca-certs-dev-0.2.2-r2.apk | 40.7 KiB | 2024-Oct-25 19:02 |
ocaml-ca-certs-0.2.2-r2.apk | 29.0 KiB | 2024-Oct-25 19:02 |
ocaml-bos-dev-0.2.1-r2.apk | 354.9 KiB | 2024-Oct-25 19:02 |
ocaml-bos-0.2.1-r2.apk | 463.3 KiB | 2024-Oct-25 19:02 |
ocaml-bitstring-dev-4.1.0-r3.apk | 573.3 KiB | 2024-Oct-25 19:02 |
ocaml-bitstring-4.1.0-r3.apk | 4.0 MiB | 2024-Oct-25 19:02 |
ocaml-bisect_ppx-dev-2.8.3-r0.apk | 551.7 KiB | 2024-Oct-25 19:02 |
ocaml-bisect_ppx-2.8.3-r0.apk | 4.6 MiB | 2024-Oct-25 19:02 |
ocaml-biniou-dev-1.2.1-r5.apk | 368.1 KiB | 2024-Oct-25 19:02 |
ocaml-biniou-1.2.1-r5.apk | 569.6 KiB | 2024-Oct-25 19:02 |
ocaml-bigstringaf-dev-0.9.0-r2.apk | 91.7 KiB | 2024-Oct-25 19:02 |
ocaml-bigstringaf-0.9.0-r2.apk | 47.2 KiB | 2024-Oct-25 19:02 |
ocaml-bigarray-compat-dev-1.1.0-r2.apk | 12.4 KiB | 2024-Oct-25 19:02 |
ocaml-bigarray-compat-1.1.0-r2.apk | 12.4 KiB | 2024-Oct-25 19:02 |
ocaml-base64-dev-3.5.0-r2.apk | 177.1 KiB | 2024-Oct-25 19:02 |
ocaml-base64-3.5.0-r2.apk | 90.7 KiB | 2024-Oct-25 19:02 |
ocaml-base-dev-0.16.3-r0.apk | 9.7 MiB | 2024-Oct-25 19:02 |
ocaml-base-0.16.3-r0.apk | 4.6 MiB | 2024-Oct-25 19:02 |
ocaml-atd-dev-2.15.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:02 |
ocaml-atd-2.15.0-r0.apk | 6.8 MiB | 2024-Oct-25 19:02 |
ocaml-astring-dev-0.8.5-r2.apk | 185.2 KiB | 2024-Oct-25 19:02 |
ocaml-astring-0.8.5-r2.apk | 287.1 KiB | 2024-Oct-25 19:02 |
ocaml-asn1-combinators-dev-0.2.6-r2.apk | 577.4 KiB | 2024-Oct-25 19:02 |
ocaml-asn1-combinators-0.2.6-r2.apk | 316.2 KiB | 2024-Oct-25 19:02 |
ocaml-arp-dev-3.0.0-r3.apk | 159.8 KiB | 2024-Oct-25 19:02 |
ocaml-arp-3.0.0-r3.apk | 84.8 KiB | 2024-Oct-25 19:02 |
ocaml-angstrom-dev-0.16.0-r0.apk | 344.3 KiB | 2024-Oct-25 19:02 |
ocaml-angstrom-0.16.0-r0.apk | 178.7 KiB | 2024-Oct-25 19:02 |
ocaml-amqp-client-dev-2.3.0-r0.apk | 1.0 MiB | 2024-Oct-25 19:02 |
ocaml-amqp-client-2.3.0-r0.apk | 605.0 KiB | 2024-Oct-25 19:02 |
ocaml-alcotest-dev-1.5.0-r4.apk | 830.7 KiB | 2024-Oct-25 19:02 |
ocaml-alcotest-1.5.0-r4.apk | 464.5 KiB | 2024-Oct-25 19:02 |
objconv-2.52_git20210213-r2.apk | 250.6 KiB | 2024-Oct-25 19:02 |
oauth2-proxy-openrc-7.6.0-r6.apk | 2.1 KiB | 2024-Oct-25 19:02 |
oauth2-proxy-7.6.0-r6.apk | 7.9 MiB | 2024-Oct-25 19:02 |
nzbget-openrc-24.3-r0.apk | 2.1 KiB | 2024-Oct-25 19:02 |
nzbget-24.3-r0.apk | 4.5 MiB | 2024-Oct-25 19:02 |
nymphcast-mediaserver-nftables-0.1-r3.apk | 1.7 KiB | 2024-Oct-25 19:02 |
nymphcast-mediaserver-0.1-r3.apk | 127.4 KiB | 2024-Oct-25 19:02 |
nwg-panel-pyc-0.9.58-r0.apk | 248.4 KiB | 2024-Dec-20 20:12 |
nwg-panel-doc-0.9.58-r0.apk | 4.2 KiB | 2024-Dec-20 20:12 |
nwg-panel-0.9.58-r0.apk | 272.8 KiB | 2024-Dec-20 20:12 |
nwg-dock-0.3.9-r6.apk | 1.6 MiB | 2024-Oct-25 19:02 |
nwg-displays-pyc-0.3.13-r1.apk | 34.8 KiB | 2024-Oct-25 19:02 |
nwg-displays-0.3.13-r1.apk | 23.6 KiB | 2024-Oct-25 19:02 |
nwg-bar-0.1.6-r5.apk | 1.5 MiB | 2024-Oct-25 19:02 |
nvtop-doc-3.1.0-r0.apk | 3.5 KiB | 2024-Oct-25 19:02 |
nvtop-3.1.0-r0.apk | 54.7 KiB | 2024-Oct-25 19:02 |
nvimpager-zsh-completion-0.12.0-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
nvimpager-doc-0.12.0-r0.apk | 4.4 KiB | 2024-Oct-25 19:02 |
nvimpager-0.12.0-r0.apk | 12.6 KiB | 2024-Oct-25 19:02 |
nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7.1 KiB | 2024-Nov-11 03:58 |
nvim-web-devicons-0.100_git20241011-r0.apk | 26.8 KiB | 2024-Nov-11 03:58 |
nvim-treesitter-doc-0.9.3-r0.apk | 29.7 KiB | 2024-Nov-04 03:04 |
nvim-treesitter-0.9.3-r0.apk | 388.2 KiB | 2024-Nov-04 03:04 |
nvim-plenary-doc-0.1.4_git20240917-r0.apk | 10.3 KiB | 2024-Nov-11 04:07 |
nvim-plenary-0.1.4_git20240917-r0.apk | 102.0 KiB | 2024-Nov-11 04:07 |
nvim-packer-doc-0.0.0_git20220910-r1.apk | 21.2 KiB | 2024-Oct-25 19:02 |
nvim-packer-0.0.0_git20220910-r1.apk | 45.6 KiB | 2024-Oct-25 19:02 |
nvim-lualine-doc-0.0.0_git20241101-r0.apk | 30.6 KiB | 2024-Nov-13 23:12 |
nvim-lualine-0.0.0_git20241101-r0.apk | 59.5 KiB | 2024-Nov-13 23:12 |
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2.8 KiB | 2024-Oct-25 19:02 |
nvim-gruvbox-0.0.0_git20221212-r1.apk | 10.2 KiB | 2024-Oct-25 19:02 |
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2.0 KiB | 2024-Oct-25 19:02 |
nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.8 KiB | 2024-Oct-25 19:02 |
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2.0 KiB | 2024-Oct-25 19:02 |
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.5 KiB | 2024-Oct-25 19:02 |
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.6 KiB | 2024-Oct-25 19:02 |
nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.5 KiB | 2024-Oct-25 19:02 |
nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.5 KiB | 2024-Oct-25 19:02 |
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.8 KiB | 2024-Oct-25 19:02 |
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.3 KiB | 2024-Oct-25 19:02 |
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4.2 KiB | 2024-Oct-25 19:02 |
nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.8 KiB | 2024-Oct-25 19:02 |
nvim-cmp-0.0.0_git20221011-r1.apk | 54.8 KiB | 2024-Oct-25 19:02 |
nvidia-src-535.86.05-r0.apk | 12.1 MiB | 2024-Oct-25 19:02 |
nuzzle-doc-1.5-r0.apk | 3.3 KiB | 2024-Oct-25 19:02 |
nuzzle-1.5-r0.apk | 11.7 KiB | 2024-Oct-25 19:02 |
numbat-doc-1.9.0-r0.apk | 24.8 KiB | 2024-Oct-25 19:02 |
numbat-1.9.0-r0.apk | 1020.8 KiB | 2024-Oct-25 19:02 |
nullmailer-openrc-2.2-r4.apk | 1.6 KiB | 2024-Oct-25 19:02 |
nullmailer-doc-2.2-r4.apk | 10.2 KiB | 2024-Oct-25 19:02 |
nullmailer-2.2-r4.apk | 122.3 KiB | 2024-Oct-25 19:02 |
nuklear-doc-4.12.0-r0.apk | 42.4 KiB | 2024-Oct-25 19:02 |
nuklear-4.12.0-r0.apk | 219.8 KiB | 2024-Oct-25 19:02 |
ntpd-rs-openrc-1.4.0-r0.apk | 1.8 KiB | 2024-Dec-14 21:23 |
ntpd-rs-doc-1.4.0-r0.apk | 22.7 KiB | 2024-Dec-14 21:23 |
ntpd-rs-1.4.0-r0.apk | 2.7 MiB | 2024-Dec-14 21:23 |
nsq-1.3.0-r5.apk | 23.6 MiB | 2024-Oct-25 19:02 |
nsnake-doc-3.0.0-r0.apk | 2.6 KiB | 2024-Oct-25 19:02 |
nsnake-3.0.0-r0.apk | 9.6 KiB | 2024-Oct-25 19:02 |
nsh-dbg-0.4.2-r1.apk | 3.4 MiB | 2024-Oct-25 19:02 |
nsh-0.4.2-r1.apk | 612.8 KiB | 2024-Oct-25 19:02 |
notification-daemon-3.20.0-r0.apk | 60.3 KiB | 2024-Oct-25 19:02 |
normaliz-libs-3.10.4-r0.apk | 2.6 MiB | 2024-Oct-30 12:54 |
normaliz-dev-3.10.4-r0.apk | 72.5 KiB | 2024-Oct-30 12:54 |
normaliz-3.10.4-r0.apk | 41.7 KiB | 2024-Oct-30 12:54 |
nom-2.6.1-r0.apk | 7.0 MiB | 2024-Oct-25 19:02 |
noice-doc-0.8-r1.apk | 3.4 KiB | 2024-Oct-25 19:02 |
noice-0.8-r1.apk | 9.6 KiB | 2024-Oct-25 19:02 |
noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2024-Oct-25 19:02 |
noggin-model-0.1-r0.apk | 12.0 MiB | 2024-Oct-25 19:02 |
noggin-doc-0.1-r11.apk | 2.9 KiB | 2024-Oct-25 19:02 |
noggin-0.1-r11.apk | 1.3 MiB | 2024-Oct-25 19:02 |
node-libpg-query-13.1.2-r5.apk | 17.6 KiB | 2024-Oct-25 19:02 |
noblenote-1.2.1-r1.apk | 399.8 KiB | 2024-Oct-25 19:02 |
nmon-16q-r0.apk | 79.0 KiB | 2024-Oct-25 19:02 |
nmap-parse-output-doc-1.5.1-r0.apk | 807.5 KiB | 2024-Oct-25 19:02 |
nmap-parse-output-bash-completion-1.5.1-r0.apk | 1.7 KiB | 2024-Oct-25 19:02 |
nmap-parse-output-1.5.1-r0.apk | 21.4 KiB | 2024-Oct-25 19:02 |
nm-tray-lang-0.5.0-r0.apk | 26.9 KiB | 2024-Oct-25 19:02 |
nm-tray-0.5.0-r0.apk | 99.2 KiB | 2024-Oct-25 19:02 |
nlopt-octave-2.8.0-r0.apk | 28.1 KiB | 2024-Oct-25 19:02 |
nlopt-guile-2.8.0-r0.apk | 38.3 KiB | 2024-Oct-25 19:02 |
nlopt-doc-2.8.0-r0.apk | 22.9 KiB | 2024-Oct-25 19:02 |
nlopt-dev-2.8.0-r0.apk | 12.1 KiB | 2024-Oct-25 19:02 |
nlopt-2.8.0-r0.apk | 185.3 KiB | 2024-Oct-25 19:02 |
nkk-doc-0_git20221010-r0.apk | 7.0 KiB | 2024-Oct-25 19:02 |
nkk-dev-0_git20221010-r0.apk | 2.9 KiB | 2024-Oct-25 19:02 |
nkk-0_git20221010-r0.apk | 15.4 KiB | 2024-Oct-25 19:02 |
nixpacks-0.1.7-r1.apk | 900.6 KiB | 2024-Oct-25 19:02 |
nitrocli-doc-0.4.1-r3.apk | 8.8 KiB | 2024-Oct-25 19:02 |
nitrocli-bash-completion-0.4.1-r3.apk | 3.2 KiB | 2024-Oct-25 19:02 |
nitrocli-0.4.1-r3.apk | 430.9 KiB | 2024-Oct-25 19:02 |
nitro-dev-2.7_beta8-r2.apk | 190.0 KiB | 2024-Oct-25 19:02 |
nitro-2.7_beta8-r2.apk | 503.4 KiB | 2024-Oct-25 19:02 |
niri-portalsconf-0.1.10.1-r2.apk | 1.6 KiB | 2024-Nov-24 22:02 |
niri-0.1.10.1-r2.apk | 4.2 MiB | 2024-Nov-24 22:02 |
nil-2023.08.09-r0.apk | 1.7 MiB | 2024-Oct-25 19:02 |
nicotine-plus-pyc-3.3.7-r0.apk | 781.0 KiB | 2024-Dec-16 14:21 |
nicotine-plus-lang-3.3.7-r0.apk | 660.9 KiB | 2024-Dec-16 14:21 |
nicotine-plus-doc-3.3.7-r0.apk | 2.5 KiB | 2024-Dec-16 14:21 |
nicotine-plus-3.3.7-r0.apk | 1.5 MiB | 2024-Dec-16 14:21 |
ngs-vim-0.2.14-r0.apk | 4.9 KiB | 2024-Oct-25 19:02 |
ngs-aws-0.2.14-r0.apk | 32.7 KiB | 2024-Oct-25 19:02 |
ngs-0.2.14-r0.apk | 292.7 KiB | 2024-Oct-25 19:02 |
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> | 21.3 KiB | 2024-Oct-25 19:02 |
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> | 712.7 KiB | 2024-Oct-25 19:02 |
nfoview-doc-2.0.1-r0.apk | 8.0 KiB | 2024-Oct-25 19:02 |
nfoview-2.0.1-r0.apk | 38.9 KiB | 2024-Oct-25 19:02 |
nextpnr-ice40-0.7-r0.apk | 68.6 MiB | 2024-Oct-25 19:02 |
nextpnr-gowin-0.7-r0.apk | 1.5 MiB | 2024-Oct-25 19:02 |
nextpnr-generic-0.7-r0.apk | 743.5 KiB | 2024-Oct-25 19:02 |
nextpnr-ecp5-0.7-r0.apk | 25.4 MiB | 2024-Oct-25 19:02 |
nextpnr-0.7-r0.apk | 1.4 KiB | 2024-Oct-25 19:02 |
newsyslog-doc-1.2.0.91-r1.apk | 24.0 KiB | 2024-Oct-25 19:02 |
newsyslog-1.2.0.91-r1.apk | 17.7 KiB | 2024-Oct-25 19:02 |
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk | 1.1 MiB | 2024-Oct-25 19:02 |
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk | 4.5 MiB | 2024-Oct-25 19:02 |
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk | 4.3 MiB | 2024-Oct-25 19:02 |
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk | 4.3 MiB | 2024-Oct-25 19:02 |
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk | 4.3 MiB | 2024-Oct-25 19:02 |
newlib-esp-0_git20240109-r0.apk | 1.5 KiB | 2024-Oct-25 19:02 |
netsurf-framebuffer-doc-3.11-r0.apk | 3.9 KiB | 2024-Oct-25 19:02 |
netsurf-framebuffer-3.11-r0.apk | 2.8 MiB | 2024-Oct-25 19:02 |
netsurf-doc-3.11-r0.apk | 4.4 KiB | 2024-Oct-25 19:02 |
netsurf-3.11-r0.apk | 2.1 MiB | 2024-Oct-25 19:02 |
netstandard21-targeting-pack-6.0.136-r1.apk | 1.9 MiB | 2024-Nov-25 04:50 |
netsed-1.3-r3.apk | 10.3 KiB | 2024-Oct-25 19:02 |
netscanner-doc-0.5.1-r1.apk | 3.3 KiB | 2024-Oct-25 19:02 |
netscanner-0.5.1-r1.apk | 3.5 MiB | 2024-Oct-25 19:02 |
netdiscover-doc-0.10-r0.apk | 22.0 KiB | 2024-Oct-25 19:02 |
netdiscover-0.10-r0.apk | 412.0 KiB | 2024-Oct-25 19:02 |
neofetch-doc-7.1.0-r2.apk | 6.2 KiB | 2024-Nov-07 11:08 |
neofetch-7.1.0-r2.apk | 85.7 KiB | 2024-Nov-07 11:08 |
neo4j-client-doc-2.2.0-r3.apk | 5.4 KiB | 2024-Oct-25 19:02 |
neo4j-client-2.2.0-r3.apk | 29.1 KiB | 2024-Oct-25 19:02 |
nemo-gtkhash-plugin-1.5-r0.apk | 23.1 KiB | 2024-Oct-25 19:02 |
neko-doc-2.3.0-r0.apk | 19.9 KiB | 2024-Nov-21 02:45 |
neko-dev-2.3.0-r0.apk | 10.4 KiB | 2024-Nov-21 02:45 |
neko-2.3.0-r0.apk | 436.4 KiB | 2024-Nov-21 02:45 |
neard-openrc-0.19-r0.apk | 1.7 KiB | 2024-Oct-25 19:02 |
neard-doc-0.19-r0.apk | 5.6 KiB | 2024-Oct-25 19:02 |
neard-dev-0.19-r0.apk | 11.1 KiB | 2024-Oct-25 19:02 |
neard-0.19-r0.apk | 133.4 KiB | 2024-Oct-25 19:02 |
ndpi-dev-4.10-r0.apk | 879.2 KiB | 2024-Oct-25 19:02 |
ndpi-4.10-r0.apk | 1.3 MiB | 2024-Oct-25 19:02 |
ncdu2-doc-2.6-r0.apk | 9.2 KiB | 2024-Oct-25 19:02 |
ncdu2-2.6-r0.apk | 242.5 KiB | 2024-Oct-25 19:02 |
nbsdgames-doc-5-r0.apk | 9.4 KiB | 2024-Oct-25 19:02 |
nbsdgames-5-r0.apk | 100.6 KiB | 2024-Oct-25 19:02 |
nb-zsh-completion-7.15.0-r0.apk | 2.9 KiB | 2024-Dec-15 22:08 |
nb-full-7.15.0-r0.apk | 1.2 KiB | 2024-Dec-15 22:08 |
nb-fish-completion-7.15.0-r0.apk | 2.7 KiB | 2024-Dec-15 22:08 |
nb-doc-7.15.0-r0.apk | 76.2 KiB | 2024-Dec-15 22:08 |
nb-bash-completion-7.15.0-r0.apk | 2.9 KiB | 2024-Dec-15 22:08 |
nb-7.15.0-r0.apk | 150.7 KiB | 2024-Dec-15 22:08 |
nauty-libs-2.8.9-r0.apk | 2.6 MiB | 2024-Oct-25 19:02 |
nauty-dev-2.8.9-r0.apk | 3.4 MiB | 2024-Oct-25 19:02 |
nauty-2.8.9-r0.apk | 5.5 MiB | 2024-Oct-25 19:02 |
nano-hare-0_git20231021-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
n30f-2.0-r3.apk | 6.9 KiB | 2024-Oct-25 19:02 |
mypaint-pyc-2.0.1-r1.apk | 1.1 MiB | 2024-Oct-25 19:02 |
mypaint-lang-2.0.1-r1.apk | 1.2 MiB | 2024-Oct-25 19:02 |
mypaint-2.0.1-r1.apk | 3.9 MiB | 2024-Oct-25 19:02 |
mympd-doc-19.0.1-r0.apk | 48.2 KiB | 2024-Dec-15 22:08 |
mympd-19.0.1-r0.apk | 891.9 KiB | 2024-Dec-15 22:08 |
mxclient-0_git20211002-r1.apk | 76.3 KiB | 2024-Oct-25 19:02 |
musikcube-plugin-taglibreader-3.0.4-r0.apk | 33.6 KiB | 2024-Oct-25 19:02 |
musikcube-plugin-supereqdsp-3.0.4-r0.apk | 26.5 KiB | 2024-Oct-25 19:02 |
musikcube-plugin-stockencoders-3.0.4-r0.apk | 19.3 KiB | 2024-Oct-25 19:02 |
musikcube-plugin-server-3.0.4-r0.apk | 370.5 KiB | 2024-Oct-25 19:02 |
musikcube-plugin-openmpt-3.0.4-r0.apk | 32.2 KiB | 2024-Oct-25 19:02 |
musikcube-plugin-mpris-3.0.4-r0.apk | 20.6 KiB | 2024-Oct-25 19:02 |
musikcube-plugin-httpdatastream-3.0.4-r0.apk | 80.3 KiB | 2024-Oct-25 19:02 |
musikcube-plugin-all-3.0.4-r0.apk | 1.5 KiB | 2024-Oct-25 19:02 |
musikcube-dev-3.0.4-r0.apk | 20.5 KiB | 2024-Oct-25 19:02 |
musikcube-3.0.4-r0.apk | 2.3 MiB | 2024-Oct-25 19:02 |
muse-doc-4.2.1-r1.apk | 4.1 MiB | 2024-Oct-25 19:02 |
muse-4.2.1-r1.apk | 6.1 MiB | 2024-Oct-25 19:02 |
muon-doc-0.3.0-r0.apk | 73.4 KiB | 2024-Oct-25 19:02 |
muon-0.3.0-r0.apk | 260.3 KiB | 2024-Oct-25 19:02 |
mtree-portable-doc-0_git20220519-r0.apk | 11.5 KiB | 2024-Oct-25 19:02 |
mtree-portable-0_git20220519-r0.apk | 24.1 KiB | 2024-Oct-25 19:02 |
mtg-openrc-2.1.7-r16.apk | 1.9 KiB | 2024-Oct-25 19:02 |
mtg-2.1.7-r16.apk | 4.3 MiB | 2024-Oct-25 19:02 |
mstflint-doc-4.26.0.1-r0.apk | 18.0 KiB | 2024-Oct-25 19:02 |
mstflint-4.26.0.1-r0.apk | 4.1 MiB | 2024-Oct-25 19:02 |
msr-tools-1.3-r1.apk | 9.9 KiB | 2024-Oct-25 19:02 |
mspdebug-doc-0.25-r1.apk | 14.2 KiB | 2024-Oct-25 19:02 |
mspdebug-0.25-r1.apk | 202.2 KiB | 2024-Oct-25 19:02 |
msh-openrc-2.5.0-r7.apk | 2.0 KiB | 2024-Oct-25 19:02 |
msh-2.5.0-r7.apk | 2.7 MiB | 2024-Oct-25 19:02 |
msgpuck-doc-2.0-r1.apk | 7.3 KiB | 2024-Oct-25 19:02 |
msgpuck-dev-2.0-r1.apk | 22.2 KiB | 2024-Oct-25 19:02 |
msgpuck-2.0-r1.apk | 1.2 KiB | 2024-Oct-25 19:02 |
mrsh-libs-0_git20210518-r1.apk | 59.3 KiB | 2024-Oct-25 19:02 |
mrsh-dev-0_git20210518-r1.apk | 10.0 KiB | 2024-Oct-25 19:02 |
mrsh-dbg-0_git20210518-r1.apk | 205.4 KiB | 2024-Oct-25 19:02 |
mrsh-0_git20210518-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
mqtt2prometheus-0.1.7-r11.apk | 4.2 MiB | 2024-Oct-25 19:02 |
mpv-sponsorblock-2.1.0-r0.apk | 1.5 MiB | 2024-Oct-25 19:02 |
mpop-vim-1.4.20-r1.apk | 2.7 KiB | 2024-Oct-25 19:02 |
mpop-lang-1.4.20-r1.apk | 130.4 KiB | 2024-Oct-25 19:02 |
mpop-doc-1.4.20-r1.apk | 33.2 KiB | 2024-Oct-25 19:02 |
mpop-1.4.20-r1.apk | 67.5 KiB | 2024-Oct-25 19:02 |
mpdris2-rs-doc-0.2.3-r0.apk | 13.6 KiB | 2024-Oct-25 19:02 |
mpdris2-rs-0.2.3-r0.apk | 730.9 KiB | 2024-Oct-25 19:02 |
mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2024-Oct-25 19:02 |
mpdris2-doc-0.9.1-r3.apk | 14.9 KiB | 2024-Oct-25 19:02 |
mpdris2-0.9.1-r3.apk | 15.2 KiB | 2024-Oct-25 19:02 |
mpdcron-zsh-completion-0.3-r1.apk | 2.9 KiB | 2024-Oct-25 19:02 |
mpdcron-doc-0.3-r1.apk | 13.4 KiB | 2024-Oct-25 19:02 |
mpdcron-dev-0.3-r1.apk | 55.2 KiB | 2024-Oct-25 19:02 |
mpdcron-0.3-r1.apk | 99.1 KiB | 2024-Oct-25 19:02 |
mp3val-0.1.8-r1.apk | 13.0 KiB | 2024-Oct-25 19:02 |
mp3gain-1.6.2-r2.apk | 32.0 KiB | 2024-Oct-25 19:02 |
motion-openrc-4.7.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
motion-lang-4.7.0-r0.apk | 471.2 KiB | 2024-Oct-25 19:02 |
motion-doc-4.7.0-r0.apk | 139.9 KiB | 2024-Oct-25 19:02 |
motion-4.7.0-r0.apk | 143.1 KiB | 2024-Oct-25 19:02 |
morph-browser-lang-1.1.1-r0.apk | 306.0 KiB | 2024-Oct-25 19:02 |
morph-browser-1.1.1-r0.apk | 547.8 KiB | 2024-Oct-25 19:02 |
moosefs-static-3.0.117-r2.apk | 603.3 KiB | 2024-Oct-25 19:02 |
moosefs-metalogger-openrc-3.0.117-r2.apk | 1.6 KiB | 2024-Oct-25 19:02 |
moosefs-metalogger-3.0.117-r2.apk | 31.1 KiB | 2024-Oct-25 19:02 |
moosefs-master-openrc-3.0.117-r2.apk | 1.6 KiB | 2024-Oct-25 19:02 |
moosefs-master-3.0.117-r2.apk | 282.3 KiB | 2024-Oct-25 19:02 |
moosefs-doc-3.0.117-r2.apk | 63.8 KiB | 2024-Oct-25 19:02 |
moosefs-client-3.0.117-r2.apk | 311.4 KiB | 2024-Oct-25 19:02 |
moosefs-chunkserver-openrc-3.0.117-r2.apk | 1.6 KiB | 2024-Oct-25 19:02 |
moosefs-chunkserver-3.0.117-r2.apk | 168.6 KiB | 2024-Oct-25 19:02 |
moosefs-cgiserv-openrc-3.0.117-r2.apk | 1.9 KiB | 2024-Oct-25 19:02 |
moosefs-cgiserv-3.0.117-r2.apk | 7.7 KiB | 2024-Oct-25 19:02 |
moosefs-cgi-3.0.117-r2.apk | 63.2 KiB | 2024-Oct-25 19:02 |
moosefs-3.0.117-r2.apk | 206.3 KiB | 2024-Oct-25 19:02 |
moon-buggy-doc-1.0.51-r1.apk | 7.1 KiB | 2024-Oct-25 19:02 |
moon-buggy-1.0.51-r1.apk | 36.0 KiB | 2024-Oct-25 19:02 |
mono-lang-6.12.0.205-r1.apk | 34.6 KiB | 2024-Oct-25 19:02 |
mono-doc-6.12.0.205-r1.apk | 151.8 KiB | 2024-Oct-25 19:02 |
mono-dev-6.12.0.205-r1.apk | 682.9 KiB | 2024-Oct-25 19:02 |
mono-6.12.0.205-r1.apk | 83.8 MiB | 2024-Oct-25 19:02 |
mongo-cxx-driver-dev-3.8.0-r0.apk | 88.9 KiB | 2024-Oct-25 19:02 |
mongo-cxx-driver-3.8.0-r0.apk | 177.0 KiB | 2024-Oct-25 19:02 |
monetdb-doc-11.33.11-r4.apk | 320.9 KiB | 2024-Oct-25 19:02 |
monetdb-dev-11.33.11-r4.apk | 77.1 KiB | 2024-Oct-25 19:02 |
monetdb-11.33.11-r4.apk | 2.4 MiB | 2024-Oct-25 19:02 |
moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2024-Oct-25 19:02 |
moe-doc-1.14-r0.apk | 19.0 KiB | 2024-Oct-25 19:02 |
moe-1.14-r0.apk | 104.3 KiB | 2024-Oct-25 19:02 |
modem-manager-gui-lang-0.0.20-r0.apk | 129.3 KiB | 2024-Oct-25 19:02 |
modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2024-Oct-25 19:02 |
modem-manager-gui-0.0.20-r0.apk | 343.0 KiB | 2024-Oct-25 19:02 |
mod_dnssd-0.6-r0.apk | 8.3 KiB | 2024-Oct-25 19:02 |
moccasin-doc-0.1.3-r0.apk | 5.3 KiB | 2024-Oct-25 19:02 |
moccasin-0.1.3-r0.apk | 1.8 MiB | 2024-Oct-25 19:02 |
mobroute-doc-0.8.4-r0.apk | 17.6 KiB | 2024-Nov-19 02:49 |
mobroute-0.8.4-r0.apk | 4.5 MiB | 2024-Nov-19 02:49 |
mobpass-pyc-0.2-r6.apk | 5.2 KiB | 2024-Oct-25 19:02 |
mobpass-0.2-r6.apk | 18.0 KiB | 2024-Oct-25 19:02 |
mnemosyne-pyc-2.10.1-r1.apk | 627.8 KiB | 2024-Oct-25 19:02 |
mnemosyne-lang-2.10.1-r1.apk | 438.6 KiB | 2024-Oct-25 19:02 |
mnemosyne-2.10.1-r1.apk | 607.1 KiB | 2024-Oct-25 19:02 |
mnamer-pyc-2.5.5-r1.apk | 60.5 KiB | 2024-Oct-25 19:02 |
mnamer-2.5.5-r1.apk | 31.7 KiB | 2024-Oct-25 19:02 |
mmtc-0.3.2-r0.apk | 489.8 KiB | 2024-Oct-25 19:02 |
mml-zsh-completion-1.0.0-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
mml-fish-completion-1.0.0-r0.apk | 2.3 KiB | 2024-Oct-25 19:02 |
mml-doc-1.0.0-r0.apk | 3.9 KiB | 2024-Oct-25 19:02 |
mml-bash-completion-1.0.0-r0.apk | 2.3 KiB | 2024-Oct-25 19:02 |
mml-1.0.0-r0.apk | 861.7 KiB | 2024-Oct-25 19:02 |
mmix-0_git20221025-r0.apk | 156.9 KiB | 2024-Oct-25 19:02 |
mm-doc-1.4.2-r1.apk | 14.4 KiB | 2024-Oct-25 19:02 |
mm-dev-1.4.2-r1.apk | 13.3 KiB | 2024-Oct-25 19:02 |
mm-common-doc-1.0.5-r0.apk | 31.7 KiB | 2024-Oct-25 19:02 |
mm-common-1.0.5-r0.apk | 473.0 KiB | 2024-Oct-25 19:02 |
mm-1.4.2-r1.apk | 7.9 KiB | 2024-Oct-25 19:02 |
mlxl-0.1-r0.apk | 6.0 KiB | 2024-Oct-25 19:02 |
mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2024-Oct-25 19:02 |
mktorrent-borg-0.9.9-r1.apk | 9.8 KiB | 2024-Oct-25 19:02 |
mkrundir-0.4.0-r1.apk | 75.3 KiB | 2024-Nov-29 00:08 |
mkosi-pyc-24.3-r0.apk | 335.7 KiB | 2024-Oct-25 19:02 |
mkosi-24.3-r0.apk | 212.0 KiB | 2024-Oct-25 19:02 |
mkg3a-doc-0.5.0-r1.apk | 3.1 KiB | 2024-Oct-25 19:02 |
mkg3a-0.5.0-r1.apk | 13.8 KiB | 2024-Oct-25 19:02 |
mkdocs-windmill-pyc-1.0.5-r4.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-windmill-1.0.5-r4.apk | 944.1 KiB | 2024-Oct-25 19:02 |
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.4 KiB | 2024-Oct-25 19:02 |
mkdocs-ivory-pyc-0.4.6-r5.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-ivory-0.4.6-r5.apk | 10.9 KiB | 2024-Oct-25 19:02 |
mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-gitbook-0.0.1-r5.apk | 644.1 KiB | 2024-Oct-25 19:02 |
mkdocs-cluster-pyc-0.0.9-r5.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-cluster-0.0.9-r5.apk | 651.1 KiB | 2024-Oct-25 19:02 |
mkdocs-cinder-pyc-1.2.0-r5.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-cinder-1.2.0-r5.apk | 248.6 KiB | 2024-Oct-25 19:02 |
mkdocs-bootswatch-pyc-1.1-r5.apk | 4.7 KiB | 2024-Oct-25 19:02 |
mkdocs-bootswatch-1.1-r5.apk | 538.4 KiB | 2024-Oct-25 19:02 |
mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-bootstrap4-0.1.5-r5.apk | 260.1 KiB | 2024-Oct-25 19:02 |
mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-bootstrap386-0.0.2-r5.apk | 791.3 KiB | 2024-Oct-25 19:02 |
mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.8 KiB | 2024-Oct-25 19:02 |
mkdocs-bootstrap-1.1.1-r2.apk | 28.5 KiB | 2024-Oct-25 19:02 |
mkcert-1.4.4-r14.apk | 1.6 MiB | 2024-Oct-25 19:02 |
mjpg-streamer-0_git20210220-r1.apk | 185.7 KiB | 2024-Oct-25 19:02 |
mitra-openrc-3.12.0-r0.apk | 1.9 KiB | 2024-Dec-20 14:41 |
mitra-doc-3.12.0-r0.apk | 20.9 KiB | 2024-Dec-20 14:41 |
mitra-3.12.0-r0.apk | 8.4 MiB | 2024-Dec-20 14:41 |
mir-test-tools-2.15.0-r4.apk | 199.7 KiB | 2024-Oct-25 19:02 |
mir-dev-2.15.0-r4.apk | 4.5 MiB | 2024-Oct-25 19:02 |
mir-demos-2.15.0-r4.apk | 112.8 KiB | 2024-Oct-25 19:02 |
mir-2.15.0-r4.apk | 1.6 MiB | 2024-Oct-25 19:02 |
mint-y-theme-xfwm4-2.1.1-r0.apk | 207.0 KiB | 2024-Oct-25 19:02 |
mint-y-theme-metacity-2.1.1-r0.apk | 57.7 KiB | 2024-Oct-25 19:02 |
mint-y-theme-gtk4-2.1.1-r0.apk | 1.6 MiB | 2024-Oct-25 19:02 |
mint-y-theme-gtk3-2.1.1-r0.apk | 1.8 MiB | 2024-Oct-25 19:02 |
mint-y-theme-gtk2-2.1.1-r0.apk | 656.1 KiB | 2024-Oct-25 19:02 |
mint-y-theme-2.1.1-r0.apk | 4.1 KiB | 2024-Oct-25 19:02 |
mint-y-icons-doc-1.7.7-r0.apk | 10.8 KiB | 2024-Nov-12 11:00 |
mint-y-icons-1.7.7-r0.apk | 71.6 MiB | 2024-Nov-12 11:00 |
mint-x-theme-xfwm4-2.1.1-r0.apk | 34.8 KiB | 2024-Oct-25 19:02 |
mint-x-theme-metacity-2.1.1-r0.apk | 6.1 KiB | 2024-Oct-25 19:02 |
mint-x-theme-gtk4-2.1.1-r0.apk | 510.5 KiB | 2024-Oct-25 19:02 |
mint-x-theme-gtk3-2.1.1-r0.apk | 603.5 KiB | 2024-Oct-25 19:02 |
mint-x-theme-gtk2-2.1.1-r0.apk | 489.9 KiB | 2024-Oct-25 19:02 |
mint-x-theme-2.1.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:02 |
mint-x-icons-doc-1.7.1-r0.apk | 7.4 KiB | 2024-Nov-12 10:58 |
mint-x-icons-1.7.1-r0.apk | 22.5 MiB | 2024-Nov-12 10:58 |
mint-themes-doc-2.1.1-r0.apk | 12.8 KiB | 2024-Oct-25 19:02 |
mint-themes-2.1.1-r0.apk | 2.2 KiB | 2024-Oct-25 19:02 |
minisatip-openrc-1.3.4-r0.apk | 1.9 KiB | 2024-Oct-25 19:02 |
minisatip-1.3.4-r0.apk | 310.8 KiB | 2024-Oct-25 19:02 |
minimodem-doc-0.24-r1.apk | 5.2 KiB | 2024-Oct-25 19:02 |
minimodem-0.24-r1.apk | 20.9 KiB | 2024-Oct-25 19:02 |
minikube-zsh-completion-1.34.0-r0.apk | 11.4 KiB | 2024-Oct-25 19:02 |
minikube-fish-completion-1.34.0-r0.apk | 4.6 KiB | 2024-Oct-25 19:02 |
minikube-bash-completion-1.34.0-r0.apk | 10.1 KiB | 2024-Oct-25 19:02 |
minikube-1.34.0-r0.apk | 21.0 MiB | 2024-Oct-25 19:02 |
minidyndns-openrc-1.3.0-r3.apk | 1.8 KiB | 2024-Oct-25 19:02 |
minidyndns-doc-1.3.0-r3.apk | 5.1 KiB | 2024-Oct-25 19:02 |
minidyndns-1.3.0-r3.apk | 11.6 KiB | 2024-Oct-25 19:02 |
mingw-w64-libusb-1.0.27-r0.apk | 208.9 KiB | 2024-Oct-25 19:02 |
mimir-openrc-2.14.2-r0.apk | 1.8 KiB | 2024-Nov-22 09:35 |
mimir-2.14.2-r0.apk | 72.1 MiB | 2024-Nov-22 09:35 |
mimeo-pyc-2023-r2.apk | 41.6 KiB | 2024-Oct-25 19:02 |
mimeo-2023-r2.apk | 28.2 KiB | 2024-Oct-25 19:02 |
mimedefang-doc-3.5-r0.apk | 80.8 KiB | 2024-Oct-25 19:02 |
mimedefang-3.5-r0.apk | 153.5 KiB | 2024-Oct-25 19:02 |
mimalloc1-insecure-1.8.6-r0.apk | 58.8 KiB | 2024-Oct-25 19:02 |
mimalloc1-dev-1.8.6-r0.apk | 446.7 KiB | 2024-Oct-25 19:02 |
mimalloc1-debug-1.8.6-r0.apk | 185.0 KiB | 2024-Oct-25 19:02 |
mimalloc1-1.8.6-r0.apk | 65.1 KiB | 2024-Oct-25 19:02 |
milkytracker-doc-1.04.00-r2.apk | 50.4 KiB | 2024-Oct-25 19:02 |
milkytracker-1.04.00-r2.apk | 993.1 KiB | 2024-Oct-25 19:02 |
metee-dev-4.0.0-r0.apk | 4.6 KiB | 2024-Oct-25 19:02 |
metee-4.0.0-r0.apk | 12.3 KiB | 2024-Oct-25 19:02 |
metalang99-1.13.3-r0.apk | 54.3 KiB | 2024-Oct-25 19:02 |
mesonlsp-4.3.7-r0.apk | 2.1 MiB | 2024-Oct-25 19:02 |
meson-tools-doc-0.1-r2.apk | 8.3 KiB | 2024-Dec-09 16:38 |
meson-tools-0.1-r2.apk | 8.0 KiB | 2024-Dec-09 16:38 |
merlin-vim-4.14-r0.apk | 27.9 KiB | 2024-Oct-25 19:02 |
merlin-emacs-4.14-r0.apk | 28.5 KiB | 2024-Oct-25 19:02 |
merlin-dev-4.14-r0.apk | 22.6 MiB | 2024-Oct-25 19:02 |
merlin-4.14-r0.apk | 14.3 MiB | 2024-Oct-25 19:02 |
mergerfs-doc-2.40.2-r1.apk | 41.8 KiB | 2024-Oct-25 19:02 |
mergerfs-2.40.2-r1.apk | 278.4 KiB | 2024-Oct-25 19:02 |
mepo-doc-1.3.3-r0.apk | 8.0 KiB | 2024-Oct-25 19:02 |
mepo-1.3.3-r0.apk | 738.0 KiB | 2024-Oct-25 19:02 |
menumaker-0.99.14-r1.apk | 111.0 KiB | 2024-Oct-25 19:02 |
memdump-doc-1.01-r1.apk | 3.1 KiB | 2024-Oct-25 19:02 |
memdump-1.01-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
meli-doc-0.8.10-r0.apk | 47.3 KiB | 2024-Dec-16 10:18 |
meli-0.8.10-r0.apk | 4.5 MiB | 2024-Dec-16 10:18 |
megazeux-doc-2.93b-r0.apk | 453.7 KiB | 2024-Oct-25 19:02 |
megazeux-2.93b-r0.apk | 1.1 MiB | 2024-Oct-25 19:02 |
megatools-doc-1.11.1.20241028-r0.apk | 51.8 KiB | 2024-Oct-29 19:34 |
megatools-bash-completion-1.11.1.20241028-r0.apk | 4.0 KiB | 2024-Oct-29 19:34 |
megatools-1.11.1.20241028-r0.apk | 61.0 KiB | 2024-Oct-29 19:34 |
meep-dev-1.29.0-r0.apk | 499.1 KiB | 2024-Oct-25 19:02 |
meep-1.29.0-r0.apk | 634.4 KiB | 2024-Oct-25 19:02 |
mediastreamer2-plugin-x264-20200722-r6.apk | 7.7 KiB | 2024-Oct-25 19:02 |
mediastreamer2-plugin-openh264-5.2.0_git2023102..> | 11.2 KiB | 2024-Oct-25 19:02 |
mediastreamer2-doc-5.3.41-r0.apk | 109.3 KiB | 2024-Oct-25 19:02 |
mediastreamer2-dev-5.3.41-r0.apk | 112.5 KiB | 2024-Oct-25 19:02 |
mediastreamer2-5.3.41-r0.apk | 359.1 KiB | 2024-Oct-25 19:02 |
mediascanner2-0.115-r0.apk | 253.6 KiB | 2024-Oct-25 19:02 |
mdp-doc-1.0.15-r1.apk | 3.7 KiB | 2024-Oct-25 19:02 |
mdp-1.0.15-r1.apk | 16.8 KiB | 2024-Oct-25 19:02 |
mdnsd-static-0.12-r1.apk | 17.4 KiB | 2024-Oct-25 19:02 |
mdnsd-openrc-0.12-r1.apk | 2.1 KiB | 2024-Oct-25 19:02 |
mdnsd-libs-0.12-r1.apk | 17.7 KiB | 2024-Oct-25 19:02 |
mdnsd-doc-0.12-r1.apk | 14.4 KiB | 2024-Oct-25 19:02 |
mdnsd-0.12-r1.apk | 23.6 KiB | 2024-Oct-25 19:02 |
mdcat-zsh-completion-2.7.1-r0.apk | 2.4 KiB | 2024-Dec-14 18:04 |
mdcat-fish-completion-2.7.1-r0.apk | 2.1 KiB | 2024-Dec-14 18:04 |
mdcat-doc-2.7.1-r0.apk | 6.0 KiB | 2024-Dec-14 18:04 |
mdcat-bash-completion-2.7.1-r0.apk | 2.2 KiB | 2024-Dec-14 18:04 |
mdcat-2.7.1-r0.apk | 3.0 MiB | 2024-Dec-14 18:04 |
mdbook-plantuml-0.8.0-r0.apk | 886.7 KiB | 2024-Oct-25 19:02 |
mdbook-mermaid-0.13.0-r0.apk | 1.7 MiB | 2024-Oct-25 19:02 |
mdbook-katex-0.8.1-r0.apk | 767.8 KiB | 2024-Oct-25 19:02 |
md5ha1-0_git20171202-r1.apk | 8.8 KiB | 2024-Oct-25 19:02 |
mcron-openrc-1.0.0-r1.apk | 1.7 KiB | 2024-Nov-29 00:08 |
mcron-doc-1.0.0-r1.apk | 2.7 KiB | 2024-Nov-29 00:08 |
mcron-1.0.0-r1.apk | 277.3 KiB | 2024-Nov-29 00:08 |
mcqd-dev-1.0.0-r1.apk | 4.1 KiB | 2024-Oct-25 19:02 |
mcqd-1.0.0-r1.apk | 12.5 KiB | 2024-Oct-25 19:02 |
mcman-doc-0.4.5-r0.apk | 13.7 KiB | 2024-Oct-25 19:02 |
mcman-0.4.5-r0.apk | 2.9 MiB | 2024-Oct-25 19:02 |
mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2024-Oct-25 19:02 |
mcjoin-2.11-r0.apk | 23.3 KiB | 2024-Oct-25 19:02 |
mbrola-3.3-r0.apk | 21.6 KiB | 2024-Oct-25 19:02 |
mbpfan-openrc-2.4.0-r1.apk | 1.6 KiB | 2024-Oct-25 19:02 |
mbpfan-doc-2.4.0-r1.apk | 5.3 KiB | 2024-Oct-25 19:02 |
mbpfan-2.4.0-r1.apk | 12.8 KiB | 2024-Oct-25 19:02 |
maxima-emacs-5.47.0-r8.apk | 111.4 KiB | 2024-Oct-25 19:02 |
maxima-doc-extra-5.47.0-r8.apk | 10.0 MiB | 2024-Oct-25 19:02 |
maxima-doc-5.47.0-r8.apk | 760.9 KiB | 2024-Oct-25 19:02 |
maxima-bash-completion-5.47.0-r8.apk | 2.4 KiB | 2024-Oct-25 19:02 |
maxima-5.47.0-r8.apk | 21.5 MiB | 2024-Oct-25 19:02 |
mautrix-discord-openrc-0.7.2-r0.apk | 1.9 KiB | 2024-Dec-17 07:42 |
mautrix-discord-doc-0.7.2-r0.apk | 13.1 KiB | 2024-Dec-17 07:42 |
mautrix-discord-0.7.2-r0.apk | 6.3 MiB | 2024-Dec-17 07:42 |
mattermost-desktop-5.10.2-r0.apk | 4.2 MiB | 2024-Dec-17 20:18 |
materia-light-kde-yakuake-20220823-r0.apk | 21.5 KiB | 2024-Oct-25 19:02 |
materia-light-kde-plasma-20220823-r0.apk | 20.1 KiB | 2024-Oct-25 19:02 |
materia-light-kde-kvantum-20220823-r0.apk | 29.6 KiB | 2024-Oct-25 19:02 |
materia-light-compact-kde-kvantum-20220823-r0.apk | 1.5 KiB | 2024-Oct-25 19:02 |
materia-kde-plasma-20220823-r0.apk | 1.7 MiB | 2024-Oct-25 19:02 |
materia-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-Oct-25 19:02 |
materia-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
materia-kde-20220823-r0.apk | 19.3 KiB | 2024-Oct-25 19:02 |
materia-gtk3-20210322-r1.apk | 64.7 KiB | 2024-Oct-25 19:02 |
materia-gtk2-20210322-r1.apk | 37.8 KiB | 2024-Oct-25 19:02 |
materia-gtk-theme-20210322-r1.apk | 151.1 KiB | 2024-Oct-25 19:02 |
materia-gnome-shell-20210322-r1.apk | 31.5 KiB | 2024-Oct-25 19:02 |
materia-dark-kde-yakuake-20220823-r0.apk | 21.7 KiB | 2024-Oct-25 19:02 |
materia-dark-kde-plasma-20220823-r0.apk | 502.9 KiB | 2024-Oct-25 19:02 |
materia-dark-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-Oct-25 19:02 |
materia-dark-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-Oct-25 19:02 |
materia-dark-gtk3-20210322-r1.apk | 41.2 KiB | 2024-Oct-25 19:02 |
materia-dark-gtk2-20210322-r1.apk | 37.7 KiB | 2024-Oct-25 19:02 |
materia-dark-gnome-shell-20210322-r1.apk | 31.5 KiB | 2024-Oct-25 19:02 |
materia-dark-compact-kde-kvantum-20220823-r0.apk | 1.5 KiB | 2024-Oct-25 19:02 |
materia-dark-compact-gtk3-20210322-r1.apk | 41.2 KiB | 2024-Oct-25 19:02 |
materia-dark-compact-gtk2-20210322-r1.apk | 37.7 KiB | 2024-Oct-25 19:02 |
materia-dark-compact-gnome-shell-20210322-r1.apk | 31.6 KiB | 2024-Oct-25 19:02 |
materia-dark-compact-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
materia-dark-compact-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 19:02 |
materia-dark-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
materia-dark-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 19:02 |
materia-compact-gtk3-20210322-r1.apk | 64.6 KiB | 2024-Oct-25 19:02 |
materia-compact-gtk2-20210322-r1.apk | 37.8 KiB | 2024-Oct-25 19:02 |
materia-compact-gnome-shell-20210322-r1.apk | 31.6 KiB | 2024-Oct-25 19:02 |
materia-compact-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
materia-compact-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 19:02 |
materia-chromium-20210322-r1.apk | 5.7 KiB | 2024-Oct-25 19:02 |
materia-20210322-r1.apk | 1.7 KiB | 2024-Oct-25 19:02 |
mat2-pyc-0.13.4-r3.apk | 53.9 KiB | 2024-Oct-25 19:02 |
mat2-doc-0.13.4-r3.apk | 7.7 KiB | 2024-Oct-25 19:02 |
mat2-0.13.4-r3.apk | 35.4 KiB | 2024-Oct-25 19:02 |
masky-pyc-0.2.0-r1.apk | 64.3 KiB | 2024-Oct-25 19:02 |
masky-0.2.0-r1.apk | 277.7 KiB | 2024-Oct-25 19:02 |
marxan-4.0.7-r1.apk | 540.7 KiB | 2024-Oct-25 19:02 |
mapserver-dev-8.2.2-r2.apk | 539.5 KiB | 2024-Nov-09 20:42 |
mapserver-8.2.2-r2.apk | 1.3 MiB | 2024-Nov-09 20:42 |
mapnik-doc-3.1.0-r29.apk | 134.8 KiB | 2024-Nov-08 04:49 |
mapnik-dev-3.1.0-r29.apk | 454.1 KiB | 2024-Nov-08 04:49 |
mapnik-3.1.0-r29.apk | 11.0 MiB | 2024-Nov-08 04:49 |
manticore-tools-6.3.8-r0.apk | 17.5 MiB | 2024-Dec-04 01:07 |
manticore-openrc-6.3.8-r0.apk | 1.8 KiB | 2024-Dec-04 01:07 |
manticore-doc-6.3.8-r0.apk | 14.6 KiB | 2024-Dec-04 01:07 |
manticore-dev-6.3.8-r0.apk | 4.6 KiB | 2024-Dec-04 01:07 |
manticore-converter-6.3.8-r0.apk | 4.4 MiB | 2024-Dec-04 01:07 |
manticore-6.3.8-r0.apk | 5.5 MiB | 2024-Dec-04 01:07 |
manifest-tool-2.1.7-r0.apk | 4.2 MiB | 2024-Oct-25 19:02 |
mangr0ve-doc-0.1.2-r0.apk | 14.4 KiB | 2024-Oct-25 19:02 |
mangr0ve-0.1.2-r0.apk | 2.8 KiB | 2024-Oct-25 19:02 |
mangal-zsh-completion-4.0.6-r13.apk | 4.0 KiB | 2024-Oct-25 19:02 |
mangal-fish-completion-4.0.6-r13.apk | 3.9 KiB | 2024-Oct-25 19:02 |
mangal-bash-completion-4.0.6-r13.apk | 5.0 KiB | 2024-Oct-25 19:02 |
mangal-4.0.6-r13.apk | 10.2 MiB | 2024-Oct-25 19:02 |
mame-tools-0.251-r0.apk | 2.6 MiB | 2024-Oct-25 19:02 |
mame-plugins-0.251-r0.apk | 166.3 KiB | 2024-Oct-25 19:02 |
mame-mess-0.251-r0.apk | 51.7 MiB | 2024-Oct-25 19:02 |
mame-lang-0.251-r0.apk | 1.4 MiB | 2024-Oct-25 19:02 |
mame-doc-0.251-r0.apk | 24.1 KiB | 2024-Oct-25 19:02 |
mame-data-0.251-r0.apk | 19.1 MiB | 2024-Oct-25 19:02 |
mame-common-0.251-r0.apk | 2.7 KiB | 2024-Oct-25 19:02 |
mame-arcade-0.251-r0.apk | 67.5 MiB | 2024-Oct-25 19:02 |
mame-0.251-r0.apk | 96.2 MiB | 2024-Oct-25 19:02 |
makeself-2.5.0-r0.apk | 13.0 KiB | 2024-Oct-25 19:01 |
makedumpfile-openrc-1.7.6-r0.apk | 2.9 KiB | 2024-Oct-28 04:12 |
makedumpfile-doc-1.7.6-r0.apk | 23.6 KiB | 2024-Oct-28 04:12 |
makedumpfile-1.7.6-r0.apk | 186.4 KiB | 2024-Oct-28 04:12 |
makeclapman-doc-2.4.1-r3.apk | 4.1 KiB | 2024-Oct-25 19:01 |
makeclapman-2.4.1-r3.apk | 1.2 MiB | 2024-Oct-25 19:01 |
mailutils-servers-3.17-r0.apk | 75.7 KiB | 2024-Oct-25 19:01 |
mailutils-mh-3.17-r0.apk | 1.4 MiB | 2024-Oct-25 19:01 |
mailutils-libs-3.17-r0.apk | 507.1 KiB | 2024-Oct-25 19:01 |
mailutils-doc-3.17-r0.apk | 159.1 KiB | 2024-Oct-25 19:01 |
mailutils-dev-3.17-r0.apk | 3.4 MiB | 2024-Oct-25 19:01 |
mailutils-3.17-r0.apk | 212.5 KiB | 2024-Oct-25 19:01 |
mailtutan-0.3.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:01 |
mailsec-check-0_git20210729-r21.apk | 2.4 MiB | 2024-Oct-25 19:01 |
maildir2rss-0.0.7-r0.apk | 3.3 MiB | 2024-Oct-25 19:01 |
mailctl-zsh-completion-0.9.2-r0.apk | 2.0 KiB | 2024-Oct-25 19:01 |
mailctl-fish-completion-0.9.2-r0.apk | 1.9 KiB | 2024-Oct-25 19:01 |
mailctl-doc-0.9.2-r0.apk | 5.1 KiB | 2024-Oct-25 19:01 |
mailctl-bash-completion-0.9.2-r0.apk | 1.8 KiB | 2024-Oct-25 19:01 |
mailctl-0.9.2-r0.apk | 6.8 MiB | 2024-Oct-25 19:01 |
magic-wormhole-rs-0.7.4-r0.apk | 2.5 MiB | 2024-Nov-29 02:39 |
mage-1.13.0-r18.apk | 1.5 MiB | 2024-Oct-25 19:01 |
maddy-vim-0.7.1-r5.apk | 3.7 KiB | 2024-Oct-25 19:01 |
maddy-openrc-0.7.1-r5.apk | 2.0 KiB | 2024-Oct-25 19:01 |
maddy-doc-0.7.1-r5.apk | 2.4 KiB | 2024-Oct-25 19:01 |
maddy-0.7.1-r5.apk | 9.2 MiB | 2024-Oct-25 19:01 |
macchina-doc-6.1.8-r1.apk | 5.6 KiB | 2024-Oct-25 19:01 |
macchina-6.1.8-r1.apk | 524.2 KiB | 2024-Oct-25 19:01 |
ma1sd-openrc-2.5.0-r3.apk | 2.0 KiB | 2024-Oct-25 19:01 |
ma1sd-2.5.0-r3.apk | 38.1 MiB | 2024-Oct-25 19:01 |
m2r2-pyc-0.3.3-r3.apk | 15.6 KiB | 2024-Oct-25 19:01 |
m2r2-0.3.3-r3.apk | 12.5 KiB | 2024-Oct-25 19:01 |
lzfse-dev-1.0-r0.apk | 3.4 KiB | 2024-Oct-25 19:01 |
lzfse-1.0-r0.apk | 19.4 KiB | 2024-Oct-25 19:01 |
lynis-doc-3.1.1-r0.apk | 49.2 KiB | 2024-Oct-25 19:01 |
lynis-bash-completion-3.1.1-r0.apk | 3.0 KiB | 2024-Oct-25 19:01 |
lynis-3.1.1-r0.apk | 271.3 KiB | 2024-Oct-25 19:01 |
lychee-doc-0.15.1-r0.apk | 11.4 KiB | 2024-Oct-25 19:01 |
lychee-0.15.1-r0.apk | 5.4 MiB | 2024-Oct-25 19:01 |
lxd-feature-scripts-5.20-r6.apk | 2.1 KiB | 2024-Oct-25 19:01 |
lxd-feature-openrc-5.20-r6.apk | 2.5 KiB | 2024-Oct-25 19:01 |
lxd-feature-doc-5.20-r6.apk | 1.7 KiB | 2024-Oct-25 19:01 |
lxd-feature-bash-completion-5.20-r6.apk | 5.1 KiB | 2024-Oct-25 19:01 |
lxd-feature-5.20-r6.apk | 67.4 MiB | 2024-Oct-25 19:01 |
lxappearance-lang-0.6.3-r3.apk | 80.5 KiB | 2024-Oct-25 19:01 |
lxappearance-doc-0.6.3-r3.apk | 2.6 KiB | 2024-Oct-25 19:01 |
lxappearance-dev-0.6.3-r3.apk | 3.2 KiB | 2024-Oct-25 19:01 |
lxappearance-0.6.3-r3.apk | 30.3 KiB | 2024-Oct-25 19:01 |
lwan-libs-0.1-r2.apk | 69.5 KiB | 2024-Oct-25 19:01 |
lwan-dev-0.1-r2.apk | 10.2 KiB | 2024-Oct-25 19:01 |
lwan-dbg-0.1-r2.apk | 396.1 KiB | 2024-Oct-25 19:01 |
lwan-0.1-r2.apk | 70.8 KiB | 2024-Oct-25 19:01 |
lutgen-zsh-completion-0.11.2-r0.apk | 1.6 KiB | 2024-Oct-25 19:01 |
lutgen-fish-completion-0.11.2-r0.apk | 1.7 KiB | 2024-Oct-25 19:01 |
lutgen-doc-0.11.2-r0.apk | 4.2 KiB | 2024-Oct-25 19:01 |
lutgen-bash-completion-0.11.2-r0.apk | 1.7 KiB | 2024-Oct-25 19:01 |
lutgen-0.11.2-r0.apk | 1.6 MiB | 2024-Oct-25 19:01 |
lurk-doc-0.3.9-r0.apk | 9.7 KiB | 2024-Dec-21 12:32 |
lurk-0.3.9-r0.apk | 630.6 KiB | 2024-Dec-21 12:32 |
lumins-0.4.0-r2.apk | 659.4 KiB | 2024-Oct-25 19:01 |
lumina-desktop-textedit-1.6.2-r0.apk | 190.5 KiB | 2024-Oct-25 19:01 |
lumina-desktop-sudo-1.6.2-r0.apk | 94.5 KiB | 2024-Oct-25 19:01 |
lumina-desktop-screenshot-1.6.2-r0.apk | 165.2 KiB | 2024-Oct-25 19:01 |
lumina-desktop-photo-1.6.2-r0.apk | 123.5 KiB | 2024-Oct-25 19:01 |
lumina-desktop-mediaplayer-1.6.2-r0.apk | 197.0 KiB | 2024-Oct-25 19:01 |
lumina-desktop-fm-1.6.2-r0.apk | 387.4 KiB | 2024-Oct-25 19:01 |
lumina-desktop-fileinfo-1.6.2-r0.apk | 158.1 KiB | 2024-Oct-25 19:01 |
lumina-desktop-doc-1.6.2-r0.apk | 11.5 KiB | 2024-Oct-25 19:01 |
lumina-desktop-coreutils-1.6.2-r0.apk | 814.2 KiB | 2024-Oct-25 19:01 |
lumina-desktop-core-1.6.2-r0.apk | 8.9 MiB | 2024-Oct-25 19:01 |
lumina-desktop-archiver-1.6.2-r0.apk | 163.7 KiB | 2024-Oct-25 19:01 |
lumina-desktop-1.6.2-r0.apk | 1.2 KiB | 2024-Oct-25 19:01 |
luksmeta-doc-9-r0.apk | 5.5 KiB | 2024-Oct-25 19:01 |
luksmeta-dev-9-r0.apk | 3.1 KiB | 2024-Oct-25 19:01 |
luksmeta-9-r0.apk | 13.2 KiB | 2024-Oct-25 19:01 |
luapak-0.1.0_beta5-r0.apk | 35.7 KiB | 2024-Oct-25 19:01 |
luacov-html-1.0.0-r1.apk | 1.2 KiB | 2024-Oct-25 19:01 |
luacov-0.15.0-r0.apk | 1.4 KiB | 2024-Oct-25 19:01 |
lua5.4-luastatic-0.0.12-r1.apk | 9.0 KiB | 2024-Oct-25 19:01 |
lua5.4-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:01 |
lua5.4-linenoise-0.9-r1.apk | 17.7 KiB | 2024-Oct-25 19:01 |
lua5.4-lanes-3.16.0-r1.apk | 60.3 KiB | 2024-Oct-25 19:01 |
lua5.4-editorconfig-0.3.0-r0.apk | 4.6 KiB | 2024-Oct-25 19:01 |
lua5.3-psl-0.3-r0.apk | 6.3 KiB | 2024-Oct-25 19:01 |
lua5.3-luastatic-0.0.12-r1.apk | 9.0 KiB | 2024-Oct-25 19:01 |
lua5.3-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-Oct-25 19:01 |
lua5.3-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:01 |
lua5.3-linenoise-0.9-r1.apk | 17.7 KiB | 2024-Oct-25 19:01 |
lua5.3-lanes-3.16.0-r1.apk | 60.5 KiB | 2024-Oct-25 19:01 |
lua5.3-editorconfig-0.3.0-r0.apk | 4.6 KiB | 2024-Oct-25 19:01 |
lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk | 5.5 KiB | 2024-Oct-30 05:03 |
lua5.2-xml-1.1.3-r2.apk | 22.7 KiB | 2024-Oct-25 19:01 |
lua5.2-psl-0.3-r0.apk | 6.3 KiB | 2024-Oct-25 19:01 |
lua5.2-luastatic-0.0.12-r1.apk | 8.9 KiB | 2024-Oct-25 19:01 |
lua5.2-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2024-Oct-25 19:01 |
lua5.2-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:01 |
lua5.2-linenoise-0.9-r1.apk | 17.7 KiB | 2024-Oct-25 19:01 |
lua5.2-libmodbus-0.6.1-r0.apk | 10.3 KiB | 2024-Oct-25 19:01 |
lua5.2-lanes-3.16.0-r1.apk | 60.1 KiB | 2024-Oct-25 19:01 |
lua5.2-editorconfig-0.3.0-r0.apk | 4.5 KiB | 2024-Oct-25 19:01 |
lua5.1-xml-1.1.3-r2.apk | 22.9 KiB | 2024-Oct-25 19:01 |
lua5.1-psl-0.3-r0.apk | 6.4 KiB | 2024-Oct-25 19:01 |
lua5.1-luastatic-0.0.12-r1.apk | 77.8 KiB | 2024-Oct-25 19:01 |
lua5.1-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2024-Oct-25 19:01 |
lua5.1-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 19:01 |
lua5.1-linenoise-0.9-r1.apk | 17.7 KiB | 2024-Oct-25 19:01 |
lua5.1-libmodbus-0.6.1-r0.apk | 10.3 KiB | 2024-Oct-25 19:01 |
lua5.1-libguestfs-1.52.0-r1.apk | 81.3 KiB | 2024-Oct-25 19:01 |
lua5.1-lcurses-9.0.0-r0.apk | 25.2 KiB | 2024-Oct-25 19:01 |
lua5.1-lanes-3.16.0-r1.apk | 60.2 KiB | 2024-Oct-25 19:01 |
lua-xml-1.1.3-r2.apk | 1.4 KiB | 2024-Oct-25 19:01 |
lua-resty-upload-0.11-r0.apk | 3.6 KiB | 2024-Oct-25 19:01 |
lua-resty-redis-0.29-r0.apk | 5.3 KiB | 2024-Oct-25 19:01 |
lua-psl-0.3-r0.apk | 1.1 KiB | 2024-Oct-25 19:01 |
lua-lut-1.2.1-r0.apk | 89.3 KiB | 2024-Oct-25 19:01 |
lua-lupa-1.0-r0.apk | 19.6 KiB | 2024-Oct-25 19:01 |
lua-luastatic-0.0.12-r1.apk | 1.5 KiB | 2024-Oct-25 19:01 |
lua-linenoise-0.9-r1.apk | 1.2 KiB | 2024-Oct-25 19:01 |
lua-libmodbus-doc-0.6.1-r0.apk | 19.1 KiB | 2024-Oct-25 19:01 |
lua-libmodbus-0.6.1-r0.apk | 1.2 KiB | 2024-Oct-25 19:01 |
lua-lcurses-9.0.0-r0.apk | 1.1 KiB | 2024-Oct-25 19:01 |
lua-lanes-3.16.0-r1.apk | 1.5 KiB | 2024-Oct-25 19:01 |
lua-inet-0.2.0-r1.apk | 9.1 KiB | 2024-Oct-25 19:01 |
lua-fn-0.1.0-r0.apk | 3.4 KiB | 2024-Oct-25 19:01 |
lua-editorconfig-0.3.0-r0.apk | 1.2 KiB | 2024-Oct-25 19:01 |
lsmash-dev-2.14.5-r2.apk | 355.4 KiB | 2024-Oct-25 19:01 |
lsmash-2.14.5-r2.apk | 279.5 KiB | 2024-Oct-25 19:01 |
lsix-1.8.2-r0.apk | 6.5 KiB | 2024-Oct-25 19:01 |
lshell-pyc-0.9.18-r11.apk | 35.3 KiB | 2024-Oct-25 19:01 |
lshell-doc-0.9.18-r11.apk | 25.2 KiB | 2024-Oct-25 19:01 |
lshell-0.9.18-r11.apk | 36.5 KiB | 2024-Oct-25 19:01 |
lsdvd-doc-0.17-r0.apk | 2.5 KiB | 2024-Oct-25 19:01 |
lsdvd-0.17-r0.apk | 13.4 KiB | 2024-Oct-25 19:01 |
lsd-zsh-completion-1.1.5-r0.apk | 3.4 KiB | 2024-Oct-25 19:01 |
lsd-fish-completion-1.1.5-r0.apk | 3.2 KiB | 2024-Oct-25 19:01 |
lsd-bash-completion-1.1.5-r0.apk | 2.5 KiB | 2024-Oct-25 19:01 |
lsd-1.1.5-r0.apk | 1.1 MiB | 2024-Oct-25 19:01 |
lrcalc-libs-2.1-r1.apk | 25.6 KiB | 2024-Oct-25 19:01 |
lrcalc-dev-2.1-r1.apk | 11.3 KiB | 2024-Oct-25 19:01 |
lrcalc-2.1-r1.apk | 11.4 KiB | 2024-Oct-25 19:01 |
lowjs-doc-1.6.2-r2.apk | 3.0 KiB | 2024-Oct-25 19:01 |
lowjs-1.6.2-r2.apk | 1.3 MiB | 2024-Oct-25 19:01 |
lout-doc-3.42.2-r0.apk | 452.5 KiB | 2024-Oct-25 19:01 |
lout-3.42.2-r0.apk | 1.4 MiB | 2024-Oct-25 19:01 |
lottieconverter-doc-0.2_git20231219-r0.apk | 2.4 KiB | 2024-Oct-25 19:01 |
lottieconverter-0.2_git20231219-r0.apk | 12.6 KiB | 2024-Oct-25 19:01 |
lotide-openrc-0.15.0-r0.apk | 3.1 KiB | 2024-Oct-25 19:01 |
lotide-0.15.0-r0.apk | 3.3 MiB | 2024-Oct-25 19:01 |
looking-glass-obs-6b-r2.apk | 24.1 KiB | 2024-Oct-25 19:01 |
looking-glass-6b-r2.apk | 741.0 KiB | 2024-Oct-25 19:01 |
lomiri-weather-app-lang-5.13.5-r1.apk | 287.7 KiB | 2024-Oct-25 19:01 |
lomiri-weather-app-5.13.5-r1.apk | 235.3 KiB | 2024-Oct-25 19:01 |
lomiri-url-dispatcher-lang-0.1.3-r2.apk | 20.8 KiB | 2024-Oct-25 19:01 |
lomiri-url-dispatcher-dev-0.1.3-r2.apk | 3.3 KiB | 2024-Oct-25 19:01 |
lomiri-url-dispatcher-0.1.3-r2.apk | 37.7 KiB | 2024-Oct-25 19:01 |
lomiri-ui-toolkit-lang-1.3.5100-r1.apk | 99.5 KiB | 2024-Oct-25 19:01 |
lomiri-ui-toolkit-dev-1.3.5100-r1.apk | 173.5 KiB | 2024-Oct-25 19:01 |
lomiri-ui-toolkit-1.3.5100-r1.apk | 1.3 MiB | 2024-Oct-25 19:01 |
lomiri-ui-extras-lang-0.6.3-r1.apk | 55.3 KiB | 2024-Oct-25 19:01 |
lomiri-ui-extras-0.6.3-r1.apk | 251.2 KiB | 2024-Oct-25 19:01 |
lomiri-trust-store-lang-2.0.2-r6.apk | 32.0 KiB | 2024-Oct-25 19:01 |
lomiri-trust-store-dev-2.0.2-r6.apk | 9.5 KiB | 2024-Oct-25 19:01 |
lomiri-trust-store-2.0.2-r6.apk | 950.4 KiB | 2024-Oct-25 19:01 |
lomiri-thumbnailer-doc-3.0.3-r2.apk | 1.5 KiB | 2024-Oct-25 19:01 |
lomiri-thumbnailer-dev-3.0.3-r2.apk | 5.1 KiB | 2024-Oct-25 19:01 |
lomiri-thumbnailer-3.0.3-r2.apk | 213.8 KiB | 2024-Oct-25 19:01 |
lomiri-terminal-app-lang-2.0.3-r0.apk | 62.1 KiB | 2024-Nov-12 17:18 |
lomiri-terminal-app-doc-2.0.3-r0.apk | 2.6 KiB | 2024-Nov-12 17:18 |
lomiri-terminal-app-2.0.3-r0.apk | 62.6 KiB | 2024-Nov-12 17:18 |
lomiri-telephony-service-lang-0.5.3-r5.apk | 96.1 KiB | 2024-Dec-07 12:41 |
lomiri-telephony-service-0.5.3-r5.apk | 983.1 KiB | 2024-Dec-07 12:41 |
lomiri-system-settings-lang-1.2.0-r0.apk | 807.3 KiB | 2024-Oct-25 19:01 |
lomiri-system-settings-1.2.0-r0.apk | 1.0 MiB | 2024-Oct-25 19:01 |
lomiri-sounds-22.02-r0.apk | 18.0 MiB | 2024-Oct-25 19:01 |
lomiri-settings-components-lang-1.1.1-r1.apk | 100.0 KiB | 2024-Oct-25 19:01 |
lomiri-settings-components-1.1.1-r1.apk | 219.9 KiB | 2024-Oct-25 19:01 |
lomiri-schemas-0.1.5-r0.apk | 11.2 KiB | 2024-Oct-25 19:01 |
lomiri-notifications-1.3.0-r1.apk | 92.3 KiB | 2024-Oct-25 19:01 |
lomiri-location-service-lang-3.1.0-r7.apk | 24.3 KiB | 2024-Oct-25 19:01 |
lomiri-location-service-doc-3.1.0-r7.apk | 2.9 KiB | 2024-Oct-25 19:01 |
lomiri-location-service-dev-3.1.0-r7.apk | 31.6 KiB | 2024-Oct-25 19:01 |
lomiri-location-service-3.1.0-r7.apk | 2.0 MiB | 2024-Oct-25 19:01 |
lomiri-libusermetrics-lang-1.3.3-r0.apk | 47.4 KiB | 2024-Oct-25 19:01 |
lomiri-libusermetrics-doc-1.3.3-r0.apk | 229.7 KiB | 2024-Oct-25 19:01 |
lomiri-libusermetrics-dev-1.3.3-r0.apk | 8.1 KiB | 2024-Oct-25 19:01 |
lomiri-libusermetrics-1.3.3-r0.apk | 162.1 KiB | 2024-Oct-25 19:01 |
lomiri-lang-0.3.0-r0.apk | 253.2 KiB | 2024-Oct-25 19:01 |
lomiri-indicator-network-lang-1.0.2-r2.apk | 168.0 KiB | 2024-Oct-25 19:01 |
lomiri-indicator-network-doc-1.0.2-r2.apk | 2.0 KiB | 2024-Oct-25 19:01 |
lomiri-indicator-network-dev-1.0.2-r2.apk | 9.9 KiB | 2024-Oct-25 19:01 |
lomiri-indicator-network-1.0.2-r2.apk | 591.7 KiB | 2024-Oct-25 19:01 |
lomiri-indicator-location-lang-0_git20231227-r0..> | 33.3 KiB | 2024-Oct-25 19:01 |
lomiri-indicator-location-0_git20231227-r0.apk | 28.5 KiB | 2024-Oct-25 19:01 |
lomiri-history-service-dev-0.6-r2.apk | 11.1 KiB | 2024-Dec-07 12:41 |
lomiri-history-service-0.6-r2.apk | 345.6 KiB | 2024-Dec-07 12:41 |
lomiri-gallery-app-lang-3.0.2-r0.apk | 108.5 KiB | 2024-Oct-25 19:01 |
lomiri-gallery-app-3.0.2-r0.apk | 3.7 MiB | 2024-Oct-25 19:01 |
lomiri-filemanager-app-lang-1.0.4-r0.apk | 174.8 KiB | 2024-Oct-25 19:01 |
lomiri-filemanager-app-1.0.4-r0.apk | 325.6 KiB | 2024-Oct-25 19:01 |
lomiri-download-manager-lang-0.1.3-r3.apk | 31.3 KiB | 2024-Oct-25 19:01 |
lomiri-download-manager-doc-0.1.3-r3.apk | 943.5 KiB | 2024-Oct-25 19:01 |
lomiri-download-manager-dev-0.1.3-r3.apk | 17.7 KiB | 2024-Oct-25 19:01 |
lomiri-download-manager-0.1.3-r3.apk | 574.1 KiB | 2024-Oct-25 19:01 |
lomiri-docviewer-app-lang-3.0.4-r0.apk | 118.6 KiB | 2024-Oct-25 19:01 |
lomiri-docviewer-app-doc-3.0.4-r0.apk | 2.0 KiB | 2024-Oct-25 19:01 |
lomiri-docviewer-app-3.0.4-r0.apk | 218.5 KiB | 2024-Oct-25 19:01 |
lomiri-content-hub-lang-2.0.0-r0.apk | 42.1 KiB | 2024-Oct-25 19:01 |
lomiri-content-hub-doc-2.0.0-r0.apk | 900.9 KiB | 2024-Oct-25 19:01 |
lomiri-content-hub-dev-2.0.0-r0.apk | 11.4 KiB | 2024-Oct-25 19:01 |
lomiri-content-hub-2.0.0-r0.apk | 276.6 KiB | 2024-Oct-25 19:01 |
lomiri-clock-app-lang-4.1.0-r0.apk | 449.1 KiB | 2024-Dec-02 11:45 |
lomiri-clock-app-4.1.0-r0.apk | 226.0 KiB | 2024-Dec-02 11:45 |
lomiri-calculator-app-lang-4.0.2-r0.apk | 36.8 KiB | 2024-Oct-25 19:01 |
lomiri-calculator-app-4.0.2-r0.apk | 374.8 KiB | 2024-Oct-25 19:01 |
lomiri-app-launch-dev-0.1.9-r3.apk | 20.4 KiB | 2024-Oct-25 19:01 |
lomiri-app-launch-0.1.9-r3.apk | 323.6 KiB | 2024-Oct-25 19:01 |
lomiri-api-dev-0.2.1-r1.apk | 33.4 KiB | 2024-Oct-25 19:01 |
lomiri-api-0.2.1-r1.apk | 31.9 KiB | 2024-Oct-25 19:01 |
lomiri-action-api-dev-1.1.3-r1.apk | 5.4 KiB | 2024-Oct-25 19:01 |
lomiri-action-api-1.1.3-r1.apk | 77.2 KiB | 2024-Oct-25 19:01 |
lomiri-0.3.0-r0.apk | 3.9 MiB | 2024-Oct-25 19:01 |
lolcat-1.4-r0.apk | 8.9 KiB | 2024-Oct-25 19:01 |
lol-html-dev-1.1.1-r1.apk | 6.5 KiB | 2024-Oct-25 19:01 |
lol-html-1.1.1-r1.apk | 432.3 KiB | 2024-Oct-25 19:01 |
logwatch-doc-7.10-r1.apk | 38.1 KiB | 2024-Oct-25 19:01 |
logwatch-7.10-r1.apk | 483.5 KiB | 2024-Oct-25 19:01 |
logtop-libs-0.7-r0.apk | 14.0 KiB | 2024-Oct-25 19:01 |
logtop-doc-0.7-r0.apk | 2.8 KiB | 2024-Oct-25 19:01 |
logtop-0.7-r0.apk | 13.1 KiB | 2024-Oct-25 19:01 |
logisim-evolution-3.8.0-r0.apk | 24.2 MiB | 2024-Oct-25 19:01 |
logc-libs-dev-0.1.0-r0.apk | 5.5 KiB | 2024-Oct-25 19:01 |
logc-libs-0.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 19:01 |
logc-libevent-0.1.0-r0.apk | 3.3 KiB | 2024-Oct-25 19:01 |
logc-dev-0.5.0-r0.apk | 8.9 KiB | 2024-Oct-25 19:01 |
logc-czmq-0.1.0-r0.apk | 3.9 KiB | 2024-Oct-25 19:01 |
logc-config-0.5.0-r0.apk | 4.9 KiB | 2024-Oct-25 19:01 |
logc-argp-0.5.0-r0.apk | 16.0 KiB | 2024-Oct-25 19:01 |
logc-0.5.0-r0.apk | 8.0 KiB | 2024-Oct-25 19:01 |
log4cxx-dev-1.1.0-r2.apk | 135.2 KiB | 2024-Dec-14 21:23 |
log4cxx-1.1.0-r2.apk | 505.2 KiB | 2024-Dec-14 21:23 |
log4cpp-dev-1.1.4-r1.apk | 38.9 KiB | 2024-Oct-25 19:01 |
log4cpp-1.1.4-r1.apk | 70.7 KiB | 2024-Oct-25 19:01 |
lockrun-1.1.3-r1.apk | 5.4 KiB | 2024-Oct-25 19:01 |
llmnrd-openrc-0.7-r1.apk | 1.9 KiB | 2024-Oct-25 19:01 |
llmnrd-doc-0.7-r1.apk | 3.0 KiB | 2024-Oct-25 19:01 |
llmnrd-0.7-r1.apk | 16.4 KiB | 2024-Oct-25 19:01 |
lkrg-doc-0.9.6-r0.apk | 21.8 KiB | 2024-Oct-25 19:01 |
lkrg-0.9.6-r0.apk | 109.7 KiB | 2024-Oct-25 19:01 |
lizardfs-metalogger-openrc-3.13.0-r14.apk | 1.6 KiB | 2024-Dec-03 15:37 |
lizardfs-metalogger-3.13.0-r14.apk | 168.6 KiB | 2024-Dec-03 15:37 |
lizardfs-master-openrc-3.13.0-r14.apk | 1.6 KiB | 2024-Dec-03 15:37 |
lizardfs-master-3.13.0-r14.apk | 1.0 MiB | 2024-Dec-03 15:37 |
lizardfs-doc-3.13.0-r14.apk | 11.4 KiB | 2024-Dec-03 15:37 |
lizardfs-client-3.13.0-r14.apk | 1.4 MiB | 2024-Dec-03 15:37 |
lizardfs-chunkserver-openrc-3.13.0-r14.apk | 1.6 KiB | 2024-Dec-03 15:37 |
lizardfs-chunkserver-3.13.0-r14.apk | 391.5 KiB | 2024-Dec-03 15:37 |
lizardfs-cgiserv-openrc-3.13.0-r14.apk | 1.9 KiB | 2024-Dec-03 15:37 |
lizardfs-cgiserv-3.13.0-r14.apk | 7.3 KiB | 2024-Dec-03 15:37 |
lizardfs-cgi-3.13.0-r14.apk | 31.4 KiB | 2024-Dec-03 15:37 |
lizardfs-bash-completion-3.13.0-r14.apk | 1.8 KiB | 2024-Dec-03 15:37 |
lizardfs-3.13.0-r14.apk | 140.8 KiB | 2024-Dec-03 15:37 |
litterbox-doc-1.9-r1.apk | 7.3 KiB | 2024-Oct-25 19:01 |
litterbox-1.9-r1.apk | 35.1 KiB | 2024-Oct-25 19:01 |
litehtml-static-0.9-r0.apk | 515.7 KiB | 2024-Oct-25 19:01 |
litehtml-dev-0.9-r0.apk | 43.9 KiB | 2024-Oct-25 19:01 |
litehtml-0.9-r0.apk | 314.7 KiB | 2024-Oct-25 19:01 |
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
listenbrainz-mpd-fish-completion-2.3.8-r0.apk | 1.8 KiB | 2024-Oct-25 19:01 |
listenbrainz-mpd-doc-2.3.8-r0.apk | 14.4 KiB | 2024-Oct-25 19:01 |
listenbrainz-mpd-bash-completion-2.3.8-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
listenbrainz-mpd-2.3.8-r0.apk | 1.2 MiB | 2024-Oct-25 19:01 |
liquid-dsp-dev-1.5.0-r0.apk | 525.7 KiB | 2024-Oct-25 19:01 |
liquid-dsp-1.5.0-r0.apk | 352.5 KiB | 2024-Oct-25 19:01 |
liquibase-doc-4.9.1-r0.apk | 56.9 KiB | 2024-Oct-25 19:01 |
liquibase-4.9.1-r0.apk | 31.6 MiB | 2024-Oct-25 19:01 |
linuxwave-doc-0.1.5-r0.apk | 3.3 KiB | 2024-Oct-25 19:01 |
linuxwave-0.1.5-r0.apk | 98.7 KiB | 2024-Oct-25 19:01 |
linuxptp-tz2alt-4.4-r0.apk | 19.1 KiB | 2024-Nov-17 17:29 |
linuxptp-ts2phc-4.4-r0.apk | 35.0 KiB | 2024-Nov-17 17:29 |
linuxptp-timemaster-4.4-r0.apk | 15.7 KiB | 2024-Nov-17 17:29 |
linuxptp-ptp4l-4.4-r0.apk | 77.2 KiB | 2024-Nov-17 17:29 |
linuxptp-pmc-4.4-r0.apk | 34.6 KiB | 2024-Nov-17 17:29 |
linuxptp-phc_ctl-4.4-r0.apk | 9.5 KiB | 2024-Nov-17 17:29 |
linuxptp-phc2sys-4.4-r0.apk | 35.6 KiB | 2024-Nov-17 17:29 |
linuxptp-nsm-4.4-r0.apk | 31.7 KiB | 2024-Nov-17 17:29 |
linuxptp-hwstamp_ctl-4.4-r0.apk | 4.3 KiB | 2024-Nov-17 17:29 |
linuxptp-doc-4.4-r0.apk | 38.4 KiB | 2024-Nov-17 17:29 |
linuxptp-4.4-r0.apk | 1.2 KiB | 2024-Nov-17 17:29 |
linuxkit-doc-1.5.2-r0.apk | 10.3 KiB | 2024-Oct-25 19:01 |
linuxkit-1.5.2-r0.apk | 13.2 MiB | 2024-Oct-25 19:01 |
linux-timemachine-1.3.2-r0.apk | 5.1 KiB | 2024-Oct-25 19:01 |
linux-apfs-rw-src-0.3.8-r0.apk | 197.1 KiB | 2024-Oct-25 19:01 |
linphone-libs-5.3.38-r0.apk | 2.9 MiB | 2024-Oct-25 19:01 |
linphone-dev-5.3.38-r0.apk | 249.7 KiB | 2024-Oct-25 19:01 |
linphone-5.3.38-r0.apk | 9.0 MiB | 2024-Oct-25 19:01 |
linkquisition-1.6.1-r0.apk | 12.0 MiB | 2024-Oct-25 19:01 |
limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-Oct-25 19:01 |
limnoria-doc-20240828-r0.apk | 8.4 KiB | 2024-Oct-25 19:01 |
limnoria-20240828-r0.apk | 1.1 MiB | 2024-Oct-25 19:01 |
limkd-doc-0.1.2-r0.apk | 3.0 KiB | 2024-Oct-25 19:01 |
limkd-0.1.2-r0.apk | 88.7 KiB | 2024-Oct-25 19:01 |
lima-zsh-completion-1.0.2-r0.apk | 4.0 KiB | 2024-Dec-07 20:44 |
lima-guestagent-1.0.2-r0.apk | 13.6 MiB | 2024-Dec-07 20:44 |
lima-fish-completion-1.0.2-r0.apk | 4.3 KiB | 2024-Dec-07 20:44 |
lima-doc-1.0.2-r0.apk | 50.4 KiB | 2024-Dec-07 20:44 |
lima-bash-completion-1.0.2-r0.apk | 5.1 KiB | 2024-Dec-07 20:44 |
lima-1.0.2-r0.apk | 10.7 MiB | 2024-Dec-07 20:44 |
lidarr-openrc-2.7.1.4417-r0.apk | 2.0 KiB | 2024-Oct-28 12:26 |
lidarr-2.7.1.4417-r0.apk | 16.2 MiB | 2024-Oct-28 12:26 |
licenseheaders-pyc-0.8.8-r4.apk | 18.4 KiB | 2024-Oct-25 19:01 |
licenseheaders-0.8.8-r4.apk | 17.9 KiB | 2024-Oct-25 19:01 |
libzvbi-static-0.2.43-r0.apk | 279.7 KiB | 2024-Dec-07 20:32 |
libzvbi-dev-0.2.43-r0.apk | 14.3 KiB | 2024-Dec-07 20:32 |
libzvbi-0.2.43-r0.apk | 217.3 KiB | 2024-Dec-07 20:32 |
libzn_poly-static-0.9.2-r2.apk | 49.3 KiB | 2024-Oct-25 19:01 |
libzn_poly-dev-0.9.2-r2.apk | 8.2 KiB | 2024-Oct-25 19:01 |
libzn_poly-0.9.2-r2.apk | 46.2 KiB | 2024-Oct-25 19:01 |
libxml++-dev-5.0.3-r1.apk | 30.3 KiB | 2024-Oct-25 19:01 |
libxml++-5.0.3-r1.apk | 62.3 KiB | 2024-Oct-25 19:01 |
libwmiclient-dev-1.3.16-r5.apk | 1.7 KiB | 2024-Oct-25 19:01 |
libwmiclient-1.3.16-r5.apk | 1.5 KiB | 2024-Oct-25 19:01 |
libwhich-1.2.0-r0.apk | 4.3 KiB | 2024-Oct-25 19:01 |
libwbxml-doc-0.11.8-r0.apk | 28.3 KiB | 2024-Oct-25 19:01 |
libwbxml-dev-0.11.8-r0.apk | 9.0 KiB | 2024-Oct-25 19:01 |
libwbxml-0.11.8-r0.apk | 75.8 KiB | 2024-Oct-25 19:01 |
libwasmtime-static-28.0.0-r0.apk | 4.4 MiB | 2024-Dec-21 22:49 |
libwasmtime-28.0.0-r0.apk | 2.5 MiB | 2024-Dec-21 22:49 |
libvoikko-doc-4.3.2-r1.apk | 5.7 KiB | 2024-Oct-25 19:01 |
libvoikko-dev-4.3.2-r1.apk | 9.9 KiB | 2024-Oct-25 19:01 |
libvoikko-4.3.2-r1.apk | 121.1 KiB | 2024-Oct-25 19:01 |
libvmaf-dev-3.0.0-r0.apk | 215.2 KiB | 2024-Oct-25 19:01 |
libvmaf-3.0.0-r0.apk | 361.7 KiB | 2024-Oct-25 19:01 |
libvisio2svg-utils-0.5.5-r3.apk | 115.7 KiB | 2024-Oct-25 19:01 |
libvisio2svg-dev-0.5.5-r3.apk | 2.9 KiB | 2024-Oct-25 19:01 |
libvisio2svg-0.5.5-r3.apk | 14.8 KiB | 2024-Oct-25 19:01 |
libvdpau-va-gl-0.4.2-r0.apk | 56.6 KiB | 2024-Oct-25 19:01 |
libupstart-2.0.3-r5.apk | 59.3 KiB | 2024-Oct-25 19:01 |
libuninameslist-doc-20230916-r0.apk | 2.0 KiB | 2024-Oct-25 19:01 |
libuninameslist-dev-20230916-r0.apk | 3.5 KiB | 2024-Oct-25 19:01 |
libuninameslist-20230916-r0.apk | 376.1 KiB | 2024-Oct-25 19:01 |
libunicode-tools-0.6.0-r1.apk | 28.4 KiB | 2024-Dec-14 21:23 |
libunicode-doc-0.6.0-r1.apk | 5.4 KiB | 2024-Dec-14 21:23 |
libunicode-dev-0.6.0-r1.apk | 51.0 KiB | 2024-Dec-14 21:23 |
libunicode-0.6.0-r1.apk | 526.8 KiB | 2024-Dec-14 21:23 |
libuecc-dev-7-r3.apk | 4.8 KiB | 2024-Oct-25 19:01 |
libuecc-7-r3.apk | 9.2 KiB | 2024-Oct-25 19:01 |
libucl-doc-0.9.0-r0.apk | 8.9 KiB | 2024-Oct-25 19:01 |
libucl-dev-0.9.0-r0.apk | 81.1 KiB | 2024-Oct-25 19:01 |
libucl-0.9.0-r0.apk | 55.8 KiB | 2024-Oct-25 19:01 |
libtsm-dev-4.0.2-r1.apk | 9.5 KiB | 2024-Oct-25 19:01 |
libtsm-4.0.2-r1.apk | 25.4 KiB | 2024-Oct-25 19:01 |
libtommath-dev-1.2.1-r0.apk | 63.0 KiB | 2024-Oct-25 19:01 |
libtommath-1.2.1-r0.apk | 37.0 KiB | 2024-Oct-25 19:01 |
libtinycbor-0.6.0-r1.apk | 18.3 KiB | 2024-Oct-25 19:01 |
libtins-doc-4.5-r1.apk | 2.3 KiB | 2024-Oct-25 19:01 |
libtins-dev-4.5-r1.apk | 141.3 KiB | 2024-Oct-25 19:01 |
libtins-4.5-r1.apk | 332.3 KiB | 2024-Oct-25 19:01 |
libtcmu-dev-1.6.0-r6.apk | 1.5 KiB | 2024-Oct-25 19:01 |
libtcmu-1.6.0-r6.apk | 36.3 KiB | 2024-Oct-25 19:01 |
libsymmetrica-static-3.0.1-r2.apk | 5.5 MiB | 2024-Oct-25 19:01 |
libsymmetrica-dev-3.0.1-r2.apk | 32.1 KiB | 2024-Oct-25 19:01 |
libsymmetrica-3.0.1-r2.apk | 4.1 MiB | 2024-Oct-25 19:01 |
libstirshaken-tools-0_git20240208-r2.apk | 156.4 KiB | 2024-Oct-25 19:01 |
libstirshaken-dev-0_git20240208-r2.apk | 79.9 KiB | 2024-Oct-25 19:01 |
libstirshaken-0_git20240208-r2.apk | 53.2 KiB | 2024-Oct-25 19:01 |
libspatialindex-dev-0_git20210205-r1.apk | 22.0 KiB | 2024-Oct-25 19:01 |
libspatialindex-0_git20210205-r1.apk | 301.8 KiB | 2024-Oct-25 19:01 |
libsirocco-dev-2.1.0-r2.apk | 1.9 KiB | 2024-Oct-25 19:01 |
libsirocco-2.1.0-r2.apk | 61.9 KiB | 2024-Oct-25 19:01 |
libsimplebluez-0.6.1-r2.apk | 124.5 KiB | 2024-Dec-14 21:23 |
libsimpleble-c-0.6.1-r2.apk | 14.5 KiB | 2024-Dec-14 21:23 |
libsimpleble-0.6.1-r2.apk | 178.2 KiB | 2024-Dec-14 21:23 |
libsigrokdecode-dev-0.5.3-r4.apk | 38.7 KiB | 2024-Oct-25 19:01 |
libsigrokdecode-0.5.3-r4.apk | 334.6 KiB | 2024-Oct-25 19:01 |
libsigrok-dev-0.5.2-r3.apk | 30.7 KiB | 2024-Oct-25 19:01 |
libsigrok-0.5.2-r3.apk | 473.0 KiB | 2024-Oct-25 19:01 |
libshadowsocks-libev-3.3.5-r4.apk | 47.8 KiB | 2024-Oct-25 19:01 |
libserialport-dev-0.1.1-r1.apk | 34.6 KiB | 2024-Oct-25 19:01 |
libserialport-0.1.1-r1.apk | 19.9 KiB | 2024-Oct-25 19:01 |
libsemigroups-static-2.7.3-r1.apk | 1.6 MiB | 2024-Dec-14 21:23 |
libsemigroups-dev-2.7.3-r1.apk | 358.9 KiB | 2024-Dec-14 21:23 |
libsemigroups-2.7.3-r1.apk | 798.8 KiB | 2024-Dec-14 21:23 |
libsemanage-doc-3.6-r1.apk | 22.9 KiB | 2024-Oct-25 19:01 |
libsemanage-dev-3.6-r1.apk | 140.6 KiB | 2024-Oct-25 19:01 |
libsemanage-3.6-r1.apk | 92.9 KiB | 2024-Oct-25 19:01 |
libsds-dev-2.0.0-r1.apk | 3.8 KiB | 2024-Oct-25 19:01 |
libsds-2.0.0-r1.apk | 9.7 KiB | 2024-Oct-25 19:01 |
libsbsms-dev-2.3.0-r0.apk | 119.6 KiB | 2024-Oct-25 19:01 |
libsbsms-2.3.0-r0.apk | 101.9 KiB | 2024-Oct-25 19:01 |
libretro-yabause-0_git20210411-r0.apk | 498.0 KiB | 2024-Oct-25 19:01 |
libretro-xrick-0_git20220331-r0.apk | 118.4 KiB | 2024-Oct-25 19:01 |
libretro-tyrquake-0_git20220409-r0.apk | 387.2 KiB | 2024-Oct-25 19:01 |
libretro-theodore-3.1-r0.apk | 872.7 KiB | 2024-Oct-25 19:01 |
libretro-snes9x-0_git20240819-r0.apk | 791.7 KiB | 2024-Oct-25 19:01 |
libretro-scummvm-0_git20210325-r0.apk | 20.2 MiB | 2024-Oct-25 19:01 |
libretro-ppsspp-0_git20210516-r14.apk | 2.3 MiB | 2024-Oct-25 19:01 |
libretro-pocketcdg-0_git20220327-r0.apk | 84.0 KiB | 2024-Oct-25 19:01 |
libretro-picodrive-0_git20220405-r0.apk | 586.9 KiB | 2024-Oct-25 19:01 |
libretro-pcsx-rearmed-0_git20220409-r0.apk | 540.0 KiB | 2024-Oct-25 19:01 |
libretro-pcem-0_git20180812-r0.apk | 936.7 KiB | 2024-Oct-25 19:01 |
libretro-parallel-n64-0_git20220406-r0.apk | 806.3 KiB | 2024-Oct-25 19:01 |
libretro-opera-0_git20211214-r0.apk | 178.9 KiB | 2024-Oct-25 19:01 |
libretro-openlara-0_git20210121-r0.apk | 516.3 KiB | 2024-Oct-25 19:01 |
libretro-nxengine-0_git20220301-r0.apk | 288.0 KiB | 2024-Oct-25 19:01 |
libretro-neocd-0_git20220325-r1.apk | 452.7 KiB | 2024-Oct-25 19:01 |
libretro-mu-0_git20220317-r0.apk | 175.9 KiB | 2024-Oct-25 19:01 |
libretro-mame2003-0_git20240904-r0.apk | 6.8 MiB | 2024-Oct-25 19:01 |
libretro-mame2000-0_git20240701-r0.apk | 2.8 MiB | 2024-Oct-25 19:01 |
libretro-gw-0_git20220410-r0.apk | 187.2 KiB | 2024-Oct-25 19:01 |
libretro-gong-0_git20220319-r0.apk | 9.0 KiB | 2024-Oct-25 19:01 |
libretro-gme-0_git20240628-r0.apk | 185.5 KiB | 2024-Oct-25 19:01 |
libretro-genesis-plus-gx-0_git20230503-r0.apk | 706.3 KiB | 2024-Oct-25 19:01 |
libretro-fuse-0_git20220417-r0.apk | 854.3 KiB | 2024-Oct-25 19:01 |
libretro-frodo-0_git20221221-r0.apk | 161.4 KiB | 2024-Oct-25 19:01 |
libretro-freeintv-0_git20220319-r0.apk | 33.8 KiB | 2024-Oct-25 19:01 |
libretro-flycast-0_git20220406-r1.apk | 1.5 MiB | 2024-Oct-25 19:01 |
libretro-fbneo-0_git20220416-r0.apk | 12.2 MiB | 2024-Oct-25 19:01 |
libretro-dolphin-0_git20220407-r2.apk | 3.2 MiB | 2024-Oct-25 19:01 |
libretro-dinothawr-0_git20220401-r0.apk | 135.9 KiB | 2024-Oct-25 19:01 |
libretro-daphne-0_git20210108-r2.apk | 584.3 KiB | 2024-Oct-25 19:01 |
libretro-crocods-0_git20210314-r1.apk | 277.1 KiB | 2024-Oct-25 19:01 |
libretro-cap32-0_git20220419-r0.apk | 299.8 KiB | 2024-Oct-25 19:01 |
libretro-cannonball-0_git20220309-r6.apk | 241.6 KiB | 2024-Oct-25 19:01 |
libretro-bluemsx-0_git20240808-r0.apk | 638.6 KiB | 2024-Oct-25 19:01 |
libretro-blastem-0_git20210810-r0.apk | 240.9 KiB | 2024-Oct-25 19:01 |
libretro-beetle-supergrafx-0_git20220218-r0.apk | 438.9 KiB | 2024-Oct-25 19:01 |
libretro-beetle-saturn-0_git20220417-r0.apk | 1.5 MiB | 2024-Oct-25 19:01 |
libretro-beetle-pcfx-0_git20220409-r0.apk | 318.5 KiB | 2024-Oct-25 19:01 |
libretro-beetle-pce-fast-0_git20220205-r0.apk | 435.5 KiB | 2024-Oct-25 19:01 |
libretro-atari800-0_git20240924-r0.apk | 271.8 KiB | 2024-Oct-25 19:01 |
librespot-openrc-0.6.0-r0.apk | 1.8 KiB | 2024-Nov-02 05:01 |
librespot-0.6.0-r0.apk | 3.3 MiB | 2024-Nov-02 05:01 |
libreoffice-voikko-5.0_git20200127-r0.apk | 45.4 KiB | 2024-Oct-25 19:01 |
libraqm-doc-0.10.2-r0.apk | 21.1 KiB | 2024-Oct-25 19:01 |
libraqm-dev-0.10.2-r0.apk | 4.5 KiB | 2024-Oct-25 19:01 |
libraqm-0.10.2-r0.apk | 10.7 KiB | 2024-Oct-25 19:01 |
libqtdbustest-0.3.2-r1.apk | 30.8 KiB | 2024-Oct-25 19:01 |
libqtdbusmock-0.9.1-r1.apk | 67.5 KiB | 2024-Oct-25 19:01 |
libqofono-qt6-0.123-r1.apk | 403.3 KiB | 2024-Oct-25 19:01 |
libqofono-qt5-0.123-r1.apk | 277.3 KiB | 2024-Oct-25 19:01 |
libqofono-dev-0.123-r1.apk | 46.5 KiB | 2024-Oct-25 19:01 |
libqofono-0.123-r1.apk | 1.4 KiB | 2024-Oct-25 19:01 |
libqd-static-2.3.24-r0.apk | 257.0 KiB | 2024-Oct-25 19:01 |
libqd-doc-2.3.24-r0.apk | 182.5 KiB | 2024-Oct-25 19:01 |
libqd-dev-2.3.24-r0.apk | 57.9 KiB | 2024-Oct-25 19:01 |
libqd-2.3.24-r0.apk | 165.9 KiB | 2024-Oct-25 19:01 |
libppl_c-1.2-r1.apk | 1.6 MiB | 2024-Oct-25 19:01 |
libppl-1.2-r1.apk | 495.7 KiB | 2024-Oct-25 19:01 |
libopensmtpd-doc-0.7-r0.apk | 5.0 KiB | 2024-Oct-25 19:01 |
libopensmtpd-dev-0.7-r0.apk | 3.1 KiB | 2024-Oct-25 19:01 |
libopensmtpd-0.7-r0.apk | 18.8 KiB | 2024-Oct-25 19:01 |
libopensles-standalone-dev-0_git20240221-r0.apk | 1.5 KiB | 2024-Oct-25 19:01 |
libopensles-standalone-dbg-0_git20240221-r0.apk | 243.0 KiB | 2024-Oct-25 19:01 |
libopensles-standalone-0_git20240221-r0.apk | 36.6 KiB | 2024-Oct-25 19:01 |
liboggz-doc-1.1.1-r2.apk | 134.2 KiB | 2024-Oct-25 19:01 |
liboggz-dev-1.1.1-r2.apk | 175.9 KiB | 2024-Oct-25 19:01 |
liboggz-1.1.1-r2.apk | 95.9 KiB | 2024-Oct-25 19:01 |
libofx-tools-0.10.9-r1.apk | 102.8 KiB | 2024-Oct-25 19:01 |
libofx-dev-0.10.9-r1.apk | 19.6 KiB | 2024-Oct-25 19:01 |
libofx-0.10.9-r1.apk | 61.2 KiB | 2024-Oct-25 19:01 |
libnxml-dev-0.18.3-r0.apk | 26.7 KiB | 2024-Oct-25 19:01 |
libnxml-0.18.3-r0.apk | 19.5 KiB | 2024-Oct-25 19:01 |
libntl-static-11.5.1-r4.apk | 1.6 MiB | 2024-Oct-25 19:01 |
libntl-doc-11.5.1-r4.apk | 373.6 KiB | 2024-Oct-25 19:01 |
libntl-dev-11.5.1-r4.apk | 159.1 KiB | 2024-Oct-25 19:01 |
libntl-11.5.1-r4.apk | 1.1 MiB | 2024-Oct-25 19:01 |
libnih-doc-1.0.3-r7.apk | 2.7 KiB | 2024-Oct-25 19:01 |
libnih-dev-1.0.3-r7.apk | 113.3 KiB | 2024-Oct-25 19:01 |
libnih-1.0.3-r7.apk | 113.4 KiB | 2024-Oct-25 19:01 |
libnfc-tools-1.8.0-r1.apk | 60.5 KiB | 2024-Oct-25 19:01 |
libnfc-doc-1.8.0-r1.apk | 22.0 KiB | 2024-Oct-25 19:01 |
libnfc-dev-1.8.0-r1.apk | 7.9 KiB | 2024-Oct-25 19:01 |
libnfc-1.8.0-r1.apk | 54.5 KiB | 2024-Oct-25 19:01 |
libnest2d-dev-0.4-r6.apk | 71.2 KiB | 2024-Oct-25 19:01 |
libnest2d-0.4-r6.apk | 1.4 KiB | 2024-Oct-25 19:01 |
libneo4j-client-dev-2.2.0-r3.apk | 108.4 KiB | 2024-Oct-25 19:01 |
libneo4j-client-2.2.0-r3.apk | 70.2 KiB | 2024-Oct-25 19:01 |
libnbcompat-dev-1.0.2-r0.apk | 64.8 KiB | 2024-Oct-25 19:01 |
libnbcompat-1.0.2-r0.apk | 32.6 KiB | 2024-Oct-25 19:01 |
libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2024-Oct-25 19:01 |
libmysofa-dev-1.3.2-r0.apk | 7.0 KiB | 2024-Oct-25 19:01 |
libmysofa-1.3.2-r0.apk | 25.3 KiB | 2024-Oct-25 19:01 |
libmygpo-qt-dev-1.1.0-r2.apk | 11.9 KiB | 2024-Oct-25 19:01 |
libmygpo-qt-1.1.0-r2.apk | 82.2 KiB | 2024-Oct-25 19:01 |
libmustache-0.5.0-r1.apk | 81.0 KiB | 2024-Oct-25 19:01 |
libmrss-dev-0.19.2-r1.apk | 30.3 KiB | 2024-Oct-25 19:01 |
libmrss-0.19.2-r1.apk | 20.1 KiB | 2024-Oct-25 19:01 |
libmpfi-static-1.5.4-r2.apk | 51.1 KiB | 2024-Oct-25 19:01 |
libmpfi-doc-1.5.4-r2.apk | 18.7 KiB | 2024-Oct-25 19:01 |
libmpfi-dev-1.5.4-r2.apk | 5.4 KiB | 2024-Oct-25 19:01 |
libmpfi-1.5.4-r2.apk | 34.5 KiB | 2024-Oct-25 19:01 |
libmhash-doc-0.9.9.9-r3.apk | 8.2 KiB | 2024-Oct-25 19:01 |
libmhash-dev-0.9.9.9-r3.apk | 105.1 KiB | 2024-Oct-25 19:01 |
libmhash-0.9.9.9-r3.apk | 94.4 KiB | 2024-Oct-25 19:01 |
libmedc-python-pyc-4.1.1-r4.apk | 475.4 KiB | 2024-Oct-25 19:01 |
libmedc-python-4.1.1-r4.apk | 1.7 MiB | 2024-Oct-25 19:01 |
libmedc-doc-4.1.1-r4.apk | 40.5 MiB | 2024-Oct-25 19:01 |
libmedc-dev-4.1.1-r4.apk | 37.5 KiB | 2024-Oct-25 19:01 |
libmedc-4.1.1-r4.apk | 612.0 KiB | 2024-Oct-25 19:01 |
libmdf-dev-1.0.29-r0.apk | 13.8 KiB | 2024-Oct-25 19:01 |
libmdf-1.0.29-r0.apk | 32.6 KiB | 2024-Oct-25 19:01 |
libmdbx-doc-0.11.8-r0.apk | 8.9 KiB | 2024-Oct-25 19:01 |
libmdbx-dev-0.11.8-r0.apk | 93.2 KiB | 2024-Oct-25 19:01 |
libmdbx-dbg-0.11.8-r0.apk | 2.6 MiB | 2024-Oct-25 19:01 |
libmdbx-0.11.8-r0.apk | 714.2 KiB | 2024-Oct-25 19:01 |
libmanticore-columnar-2.2.4-r0.apk | 1.1 MiB | 2024-Oct-25 19:01 |
libm4rie-static-20200125-r4.apk | 189.9 KiB | 2024-Oct-25 19:01 |
libm4rie-dev-20200125-r4.apk | 24.4 KiB | 2024-Oct-25 19:01 |
libm4rie-20200125-r4.apk | 163.6 KiB | 2024-Oct-25 19:01 |
libm4ri-static-20240729-r1.apk | 135.1 KiB | 2024-Oct-25 19:01 |
libm4ri-dev-20240729-r1.apk | 31.6 KiB | 2024-Oct-25 19:01 |
libm4ri-20240729-r1.apk | 119.9 KiB | 2024-Oct-25 19:01 |
liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.6 KiB | 2024-Oct-25 19:01 |
liblastfm-qt-1.1.10_git20190823-r3.apk | 159.9 KiB | 2024-Oct-25 19:01 |
libjodycode-doc-3.1.1-r0.apk | 3.7 KiB | 2024-Oct-25 19:01 |
libjodycode-dev-3.1.1-r0.apk | 4.2 KiB | 2024-Oct-25 19:01 |
libjodycode-3.1.1-r0.apk | 7.3 KiB | 2024-Oct-25 19:01 |
libiscsi-utils-1.19.0-r2.apk | 85.5 KiB | 2024-Oct-25 19:01 |
libiscsi-static-1.19.0-r2.apk | 71.3 KiB | 2024-Oct-25 19:01 |
libiscsi-doc-1.19.0-r2.apk | 9.3 KiB | 2024-Oct-25 19:01 |
libiscsi-dev-1.19.0-r2.apk | 20.4 KiB | 2024-Oct-25 19:01 |
libiscsi-1.19.0-r2.apk | 59.7 KiB | 2024-Oct-25 19:01 |
libirecovery-progs-1.2.1-r0.apk | 8.0 KiB | 2024-Oct-30 22:44 |
libirecovery-dev-1.2.1-r0.apk | 4.1 KiB | 2024-Oct-30 22:44 |
libirecovery-1.2.1-r0.apk | 22.8 KiB | 2024-Oct-30 22:44 |
libiml-static-1.0.5-r3.apk | 77.9 KiB | 2024-Oct-25 19:01 |
libiml-dev-1.0.5-r3.apk | 3.9 KiB | 2024-Oct-25 19:01 |
libiml-1.0.5-r3.apk | 75.6 KiB | 2024-Oct-25 19:01 |
libiio-tools-0.25-r2.apk | 71.8 KiB | 2024-Oct-25 19:01 |
libiio-pyc-0.25-r2.apk | 21.0 KiB | 2024-Oct-25 19:01 |
libiio-doc-0.25-r2.apk | 17.9 KiB | 2024-Oct-25 19:01 |
libiio-dev-0.25-r2.apk | 13.4 KiB | 2024-Oct-25 19:01 |
libiio-0.25-r2.apk | 51.2 KiB | 2024-Oct-25 19:01 |
libigraph-dev-0.10.15-r0.apk | 90.5 KiB | 2024-Nov-15 17:11 |
libigraph-0.10.15-r0.apk | 1.2 MiB | 2024-Nov-15 17:11 |
libideviceactivation-doc-1.1.1-r5.apk | 2.2 KiB | 2024-Oct-30 22:44 |
libideviceactivation-dev-1.1.1-r5.apk | 3.3 KiB | 2024-Oct-30 22:44 |
libideviceactivation-1.1.1-r5.apk | 16.2 KiB | 2024-Oct-30 22:44 |
libibumad-doc-1.3.10.2-r3.apk | 23.2 KiB | 2024-Oct-25 19:01 |
libibumad-dev-1.3.10.2-r3.apk | 7.6 KiB | 2024-Oct-25 19:01 |
libibumad-1.3.10.2-r3.apk | 15.6 KiB | 2024-Oct-25 19:01 |
libibmad-dev-1.3.13-r2.apk | 13.0 KiB | 2024-Oct-25 19:01 |
libibmad-1.3.13-r2.apk | 30.9 KiB | 2024-Oct-25 19:01 |
libhwpwm-doc-0.4.4-r0.apk | 13.0 KiB | 2024-Oct-25 19:01 |
libhwpwm-dev-0.4.4-r0.apk | 5.8 KiB | 2024-Oct-25 19:01 |
libhwpwm-0.4.4-r0.apk | 5.4 KiB | 2024-Oct-25 19:01 |
libhomfly-dev-1.02_p6-r1.apk | 19.2 KiB | 2024-Oct-25 19:01 |
libhomfly-1.02_p6-r1.apk | 15.5 KiB | 2024-Oct-25 19:01 |
libguestfs-static-1.52.0-r1.apk | 442.1 KiB | 2024-Oct-25 19:01 |
libguestfs-doc-1.52.0-r1.apk | 559.8 KiB | 2024-Oct-25 19:01 |
libguestfs-dev-1.52.0-r1.apk | 29.3 KiB | 2024-Oct-25 19:01 |
libguestfs-1.52.0-r1.apk | 323.5 KiB | 2024-Oct-25 19:01 |
libgrapheme-doc-1-r0.apk | 8.0 KiB | 2024-Oct-25 19:01 |
libgrapheme-dev-1-r0.apk | 9.6 KiB | 2024-Oct-25 19:01 |
libgrapheme-1-r0.apk | 9.7 KiB | 2024-Oct-25 19:01 |
libgivaro-static-4.2.0-r2.apk | 90.3 KiB | 2024-Oct-25 19:01 |
libgivaro-dev-4.2.0-r2.apk | 244.4 KiB | 2024-Oct-25 19:01 |
libgivaro-4.2.0-r2.apk | 74.5 KiB | 2024-Oct-25 19:01 |
libgdcm-3.0.24-r0.apk | 2.5 MiB | 2024-Oct-25 19:01 |
libfyaml-doc-0.9-r0.apk | 7.4 KiB | 2024-Oct-25 19:01 |
libfyaml-dev-0.9-r0.apk | 42.4 KiB | 2024-Oct-25 19:01 |
libfyaml-0.9-r0.apk | 341.7 KiB | 2024-Oct-25 19:01 |
libfort-dev-0.4.2-r0.apk | 17.4 KiB | 2024-Oct-25 19:01 |
libfort-0.4.2-r0.apk | 31.4 KiB | 2024-Oct-25 19:01 |
libfoma-0.10.0_git20240712-r0.apk | 104.0 KiB | 2024-Oct-25 19:01 |
libfishsound-doc-1.0.0-r1.apk | 75.3 KiB | 2024-Oct-25 19:01 |
libfishsound-dev-1.0.0-r1.apk | 62.4 KiB | 2024-Oct-25 19:01 |
libfishsound-1.0.0-r1.apk | 9.6 KiB | 2024-Oct-25 19:01 |
libettercap-0.8.3.1-r3.apk | 195.3 KiB | 2024-Oct-25 19:01 |
liberasurecode-dev-1.6.3-r1.apk | 18.3 KiB | 2024-Oct-25 19:01 |
liberasurecode-1.6.3-r1.apk | 40.9 KiB | 2024-Oct-25 19:01 |
libemf2svg-utils-1.1.0-r2.apk | 18.3 KiB | 2024-Oct-25 19:01 |
libemf2svg-1.1.0-r2.apk | 162.6 KiB | 2024-Oct-25 19:01 |
libecm-7.0.5-r1.apk | 228.8 KiB | 2024-Oct-25 19:01 |
libecap-static-1.0.1-r1.apk | 16.4 KiB | 2024-Oct-25 19:01 |
libecap-dev-1.0.1-r1.apk | 11.4 KiB | 2024-Oct-25 19:01 |
libecap-1.0.1-r1.apk | 13.2 KiB | 2024-Oct-25 19:01 |
libeantic-dev-2.0.2-r1.apk | 17.6 KiB | 2024-Oct-25 19:01 |
libeantic-2.0.2-r1.apk | 72.9 KiB | 2024-Oct-25 19:01 |
libdng-utils-0.1.1-r1.apk | 5.8 KiB | 2024-Oct-25 19:01 |
libdng-doc-0.1.1-r1.apk | 4.2 KiB | 2024-Oct-25 19:01 |
libdng-dev-0.1.1-r1.apk | 3.2 KiB | 2024-Oct-25 19:01 |
libdng-0.1.1-r1.apk | 9.8 KiB | 2024-Oct-25 19:01 |
libdcmtk-3.6.8-r0.apk | 6.4 MiB | 2024-Oct-25 19:01 |
libcyaml-static-1.4.2-r0.apk | 24.5 KiB | 2024-Oct-25 19:01 |
libcyaml-doc-1.4.2-r0.apk | 8.6 KiB | 2024-Oct-25 19:01 |
libcyaml-dev-1.4.2-r0.apk | 12.9 KiB | 2024-Oct-25 19:01 |
libcyaml-1.4.2-r0.apk | 20.1 KiB | 2024-Oct-25 19:01 |
libctl-doc-4.5.1-r1.apk | 3.0 KiB | 2024-Oct-25 19:01 |
libctl-dev-4.5.1-r1.apk | 38.6 KiB | 2024-Oct-25 19:01 |
libctl-4.5.1-r1.apk | 96.8 KiB | 2024-Oct-25 19:01 |
libcotp-dev-3.1.0-r0.apk | 2.5 KiB | 2024-Oct-25 19:01 |
libcotp-3.1.0-r0.apk | 7.9 KiB | 2024-Oct-25 19:01 |
libcorkipset-tools-1.1.1-r4.apk | 11.4 KiB | 2024-Oct-25 19:01 |
libcorkipset-dev-1.1.1-r4.apk | 8.1 KiB | 2024-Oct-25 19:01 |
libcorkipset-1.1.1-r4.apk | 12.9 KiB | 2024-Oct-25 19:01 |
libcork-tools-0.15.0-r7.apk | 4.4 KiB | 2024-Oct-25 19:01 |
libcork-dev-0.15.0-r7.apk | 30.0 KiB | 2024-Oct-25 19:01 |
libcork-0.15.0-r7.apk | 33.1 KiB | 2024-Oct-25 19:01 |
libcli-1.10.7-r0.apk | 29.7 KiB | 2024-Oct-25 19:01 |
libbsoncxx-dev-3.8.0-r0.apk | 38.7 KiB | 2024-Oct-25 19:01 |
libbsoncxx-3.8.0-r0.apk | 43.3 KiB | 2024-Oct-25 19:01 |
libbraiding-dev-1.3.1-r0.apk | 15.1 KiB | 2024-Nov-17 04:04 |
libbraiding-1.3.1-r0.apk | 95.4 KiB | 2024-Nov-17 04:04 |
libbloom-dev-2.0-r0.apk | 3.5 KiB | 2024-Oct-25 19:01 |
libbloom-2.0-r0.apk | 5.5 KiB | 2024-Oct-25 19:01 |
libblastrampoline-dev-5.2.0-r0.apk | 96.1 KiB | 2024-Oct-25 19:01 |
libblastrampoline-5.2.0-r0.apk | 329.9 KiB | 2024-Oct-25 19:01 |
libbamf-doc-0.5.6-r1.apk | 31.1 KiB | 2024-Oct-25 19:01 |
libbamf-dev-0.5.6-r1.apk | 6.4 KiB | 2024-Oct-25 19:01 |
libbamf-0.5.6-r1.apk | 149.3 KiB | 2024-Oct-25 19:01 |
libb64-doc-2.0.0.1-r0.apk | 8.0 KiB | 2024-Oct-25 19:01 |
libb64-dev-2.0.0.1-r0.apk | 5.7 KiB | 2024-Oct-25 19:01 |
libb64-2.0.0.1-r0.apk | 4.4 KiB | 2024-Oct-25 19:01 |
libaudec-tools-0.3.4-r3.apk | 27.7 KiB | 2024-Oct-25 19:01 |
libaudec-static-0.3.4-r3.apk | 30.6 KiB | 2024-Oct-25 19:01 |
libaudec-dev-0.3.4-r3.apk | 4.3 KiB | 2024-Oct-25 19:01 |
libaudec-0.3.4-r3.apk | 27.5 KiB | 2024-Oct-25 19:01 |
libarb-static-2.23.0-r2.apk | 2.0 MiB | 2024-Oct-25 19:01 |
libarb-dev-2.23.0-r2.apk | 53.9 KiB | 2024-Oct-25 19:01 |
libarb-2.23.0-r2.apk | 1.5 MiB | 2024-Oct-25 19:01 |
libantlr4-dev-4.13.2-r0.apk | 936.4 KiB | 2024-Dec-12 11:22 |
libantlr4-4.13.2-r0.apk | 461.7 KiB | 2024-Dec-12 11:22 |
libantlr3c-dev-3.4-r3.apk | 58.4 KiB | 2024-Oct-25 19:01 |
libantlr3c-3.4-r3.apk | 50.1 KiB | 2024-Oct-25 19:01 |
libantic-dev-0.2.5-r0.apk | 6.4 KiB | 2024-Oct-25 19:01 |
libantic-0.2.5-r0.apk | 43.4 KiB | 2024-Oct-25 19:01 |
libabigail-tools-2.3-r0.apk | 107.3 KiB | 2024-Oct-25 19:01 |
libabigail-doc-2.3-r0.apk | 61.2 KiB | 2024-Oct-25 19:01 |
libabigail-dev-2.3-r0.apk | 1.2 MiB | 2024-Oct-25 19:01 |
libabigail-bash-completion-2.3-r0.apk | 3.0 KiB | 2024-Oct-25 19:01 |
libabigail-2.3-r0.apk | 842.7 KiB | 2024-Oct-25 19:01 |
lgogdownloader-doc-3.12-r3.apk | 8.2 KiB | 2024-Oct-25 19:01 |
lgogdownloader-3.12-r3.apk | 355.5 KiB | 2024-Oct-25 19:01 |
lfm-pyc-3.1-r4.apk | 133.7 KiB | 2024-Oct-25 19:01 |
lfm-doc-3.1-r4.apk | 2.8 KiB | 2024-Oct-25 19:01 |
lfm-3.1-r4.apk | 88.1 KiB | 2024-Oct-25 19:01 |
levmar-dev-2.6-r0.apk | 47.5 KiB | 2024-Oct-25 19:01 |
leptosfmt-doc-0.1.18-r0.apk | 6.2 KiB | 2024-Oct-25 19:01 |
leptosfmt-0.1.18-r0.apk | 870.3 KiB | 2024-Oct-25 19:01 |
lemmy-ui-openrc-0.19.5-r0.apk | 2.2 KiB | 2024-Oct-25 19:01 |
lemmy-ui-0.19.5-r0.apk | 56.5 MiB | 2024-Oct-25 19:01 |
lemmy-openrc-0.19.5-r1.apk | 1.9 KiB | 2024-Oct-25 19:01 |
lemmy-localdb-0.19.5-r1.apk | 2.4 KiB | 2024-Oct-25 19:01 |
lemmy-0.19.5-r1.apk | 12.0 MiB | 2024-Oct-25 19:01 |
lem-gui-2.2.0-r0.apk | 26.1 MiB | 2024-Oct-25 19:01 |
lem-common-2.2.0-r0.apk | 11.2 KiB | 2024-Oct-25 19:01 |
lem-2.2.0-r0.apk | 23.9 MiB | 2024-Oct-25 19:01 |
legume-doc-1.4.2-r4.apk | 12.4 KiB | 2024-Oct-25 19:01 |
legume-1.4.2-r4.apk | 1.4 MiB | 2024-Oct-25 19:01 |
lefthook-doc-1.8.5-r0.apk | 2.2 KiB | 2024-Dec-05 12:45 |
lefthook-1.8.5-r0.apk | 4.2 MiB | 2024-Dec-05 12:45 |
ledmon-doc-1.0.0-r0.apk | 14.1 KiB | 2024-Oct-25 19:01 |
ledmon-1.0.0-r0.apk | 78.9 KiB | 2024-Oct-25 19:01 |
ldapdomaindump-pyc-0.9.4-r1.apk | 30.7 KiB | 2024-Oct-25 19:01 |
ldapdomaindump-0.9.4-r1.apk | 18.3 KiB | 2024-Oct-25 19:01 |
lcalc-libs-2.0.5-r2.apk | 221.1 KiB | 2024-Oct-25 19:01 |
lcalc-doc-2.0.5-r2.apk | 437.2 KiB | 2024-Oct-25 19:01 |
lcalc-dev-2.0.5-r2.apk | 57.1 KiB | 2024-Oct-25 19:01 |
lcalc-2.0.5-r2.apk | 225.9 KiB | 2024-Oct-25 19:01 |
lazymc-openrc-0.2.11-r0.apk | 2.0 KiB | 2024-Oct-25 19:01 |
lazymc-0.2.11-r0.apk | 1.1 MiB | 2024-Oct-25 19:01 |
laze-zsh-completion-0.1.27-r0.apk | 3.5 KiB | 2024-Dec-09 16:24 |
laze-fish-completion-0.1.27-r0.apk | 3.3 KiB | 2024-Dec-09 16:24 |
laze-doc-0.1.27-r0.apk | 3.5 KiB | 2024-Dec-09 16:24 |
laze-bash-completion-0.1.27-r0.apk | 3.1 KiB | 2024-Dec-09 16:24 |
laze-0.1.27-r0.apk | 942.1 KiB | 2024-Dec-09 16:24 |
laminar-zsh-completion-1.3-r4.apk | 1.8 KiB | 2024-Oct-25 19:01 |
laminar-openrc-1.3-r4.apk | 1.9 KiB | 2024-Oct-25 19:01 |
laminar-doc-1.3-r4.apk | 3.7 KiB | 2024-Oct-25 19:01 |
laminar-bash-completion-1.3-r4.apk | 1.9 KiB | 2024-Oct-25 19:01 |
laminar-1.3-r4.apk | 290.3 KiB | 2024-Oct-25 19:01 |
kumactl-zsh-completion-2.8.4-r0.apk | 4.0 KiB | 2024-Oct-25 19:01 |
kumactl-fish-completion-2.8.4-r0.apk | 4.3 KiB | 2024-Oct-25 19:01 |
kumactl-bash-completion-2.8.4-r0.apk | 10.0 KiB | 2024-Oct-25 19:01 |
kumactl-2.8.4-r0.apk | 23.1 MiB | 2024-Oct-25 19:01 |
kuma-dp-openrc-2.8.4-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
kuma-dp-2.8.4-r0.apk | 20.7 MiB | 2024-Oct-25 19:01 |
kuma-cp-openrc-2.8.4-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
kuma-cp-2.8.4-r0.apk | 26.0 MiB | 2024-Oct-25 19:01 |
kubesplit-pyc-0.3.3-r1.apk | 13.0 KiB | 2024-Oct-25 19:01 |
kubesplit-0.3.3-r1.apk | 12.7 KiB | 2024-Oct-25 19:01 |
kubeseal-doc-0.27.3-r0.apk | 5.5 KiB | 2024-Dec-07 03:41 |
kubeseal-0.27.3-r0.apk | 10.8 MiB | 2024-Dec-07 03:41 |
kubepug-zsh-completion-1.7.1-r5.apk | 4.0 KiB | 2024-Oct-25 19:01 |
kubepug-fish-completion-1.7.1-r5.apk | 4.3 KiB | 2024-Oct-25 19:01 |
kubepug-bash-completion-1.7.1-r5.apk | 5.1 KiB | 2024-Oct-25 19:01 |
kubepug-1.7.1-r5.apk | 16.3 MiB | 2024-Oct-25 19:01 |
kubeone-zsh-completion-1.9.0-r0.apk | 4.0 KiB | 2024-Dec-14 18:13 |
kubeone-doc-1.9.0-r0.apk | 20.1 KiB | 2024-Dec-14 18:13 |
kubeone-bash-completion-1.9.0-r0.apk | 6.6 KiB | 2024-Dec-14 18:13 |
kubeone-1.9.0-r0.apk | 26.9 MiB | 2024-Dec-14 18:13 |
kubectl-oidc_login-1.31.0-r0.apk | 5.3 MiB | 2024-Dec-14 18:07 |
kubectl-krew-0.4.4-r7.apk | 4.4 MiB | 2024-Oct-25 19:01 |
kubeconform-0.6.6-r2.apk | 3.2 MiB | 2024-Oct-25 19:01 |
kube-no-trouble-0.7.3-r0.apk | 13.8 MiB | 2024-Oct-25 19:01 |
ktx-libs-4.3.2-r0.apk | 1.3 MiB | 2024-Oct-25 19:01 |
ktx-dev-4.3.2-r0.apk | 29.2 KiB | 2024-Oct-25 19:01 |
ktx-4.3.2-r0.apk | 1.2 MiB | 2024-Oct-25 19:01 |
krita-plugin-gmic-3.2.4.1-r3.apk | 2.8 MiB | 2024-Oct-25 19:01 |
kpatch-doc-0.9.9-r0.apk | 3.5 KiB | 2024-Oct-25 19:01 |
kpatch-0.9.9-r0.apk | 128.1 KiB | 2024-Oct-25 19:01 |
kotlin-language-server-1.3.7-r0.apk | 82.9 MiB | 2024-Oct-25 19:01 |
kops-1.28.4-r5.apk | 35.4 MiB | 2024-Oct-25 19:01 |
kopia-zsh-completion-0.17.0-r2.apk | 1.8 KiB | 2024-Oct-25 19:01 |
kopia-bash-completion-0.17.0-r2.apk | 1.8 KiB | 2024-Oct-25 19:01 |
kopia-0.17.0-r2.apk | 13.0 MiB | 2024-Oct-25 19:01 |
kondo-zsh-completion-0.8-r0.apk | 2.5 KiB | 2024-Oct-25 19:01 |
kondo-fish-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
kondo-bash-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
kondo-0.8-r0.apk | 691.6 KiB | 2024-Oct-25 19:01 |
kompose-zsh-completion-1.31.2-r5.apk | 6.8 KiB | 2024-Oct-25 19:01 |
kompose-fish-completion-1.31.2-r5.apk | 4.4 KiB | 2024-Oct-25 19:01 |
kompose-bash-completion-1.31.2-r5.apk | 5.6 KiB | 2024-Oct-25 19:01 |
kompose-1.31.2-r5.apk | 7.1 MiB | 2024-Oct-25 19:01 |
komikku-pyc-1.66.0-r0.apk | 731.2 KiB | 2024-Dec-21 18:03 |
komikku-lang-1.66.0-r0.apk | 231.0 KiB | 2024-Dec-21 18:03 |
komikku-1.66.0-r0.apk | 1020.3 KiB | 2024-Dec-21 18:03 |
kodi-vfs-sftp-20.2.0-r1.apk | 53.2 KiB | 2024-Oct-25 19:01 |
kodi-vfs-sacd-20.1.0-r1.apk | 93.4 KiB | 2024-Oct-25 19:01 |
kodi-vfs-rar-20.1.0-r1.apk | 400.7 KiB | 2024-Oct-25 19:01 |
kodi-vfs-libarchive-20.3.0-r1.apk | 109.5 KiB | 2024-Oct-25 19:01 |
kodi-pvr-iptvsimple-20.11.0-r0.apk | 907.5 KiB | 2024-Oct-25 19:01 |
kodi-pvr-hts-20.6.2-r1.apk | 302.1 KiB | 2024-Oct-25 19:01 |
kodi-peripheral-joystick-20.1.9-r0.apk | 215.3 KiB | 2024-Oct-25 19:01 |
kodi-inputstream-rtmp-20.3.0-r1.apk | 87.4 KiB | 2024-Oct-25 19:01 |
kodi-inputstream-ffmpegdirect-20.5.0-r1.apk | 335.3 KiB | 2024-Oct-25 19:01 |
kodi-inputstream-adaptive-21.4.10-r0.apk | 1022.3 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-theodore-0.0.1.32-r0.apk | 16.0 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-snes9x-1.62.3.45-r0.apk | 26.0 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-nestopia-1.52.0.41-r0.apk | 22.7 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk | 127.5 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-mgba-0.11.0.44-r0.apk | 19.4 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-mame2003-0.78.0.54-r0.apk | 1.3 MiB | 2024-Oct-25 19:01 |
kodi-game-libretro-mame2000-0.37.0.32-r0.apk | 326.4 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-frodo-0.0.1.25-r0.apk | 160.5 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-fbneo-1.0.0.71-r0.apk | 180.1 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-dosbox-0.74.0.25-r0.apk | 73.8 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-desmume-0.0.1.28-r0.apk | 63.6 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk | 17.5 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk | 16.4 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-supergrafx-1.29.0.39-..> | 19.9 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk | 19.7 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk | 64.0 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk | 53.8 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0..> | 20.9 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk | 16.7 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk | 14.8 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk | 15.4 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-atari800-3.1.0.28-r0.apk | 240.7 KiB | 2024-Oct-25 19:01 |
kodi-game-libretro-20.1.0-r0.apk | 116.5 KiB | 2024-Oct-25 19:01 |
kodi-audioencoder-wav-20.2.0-r1.apk | 26.8 KiB | 2024-Oct-25 19:01 |
kodi-audioencoder-vorbis-20.2.0-r1.apk | 34.6 KiB | 2024-Oct-25 19:01 |
kodi-audioencoder-lame-20.3.0-r1.apk | 91.9 KiB | 2024-Oct-25 19:01 |
kodi-audioencoder-flac-20.2.0-r1.apk | 43.0 KiB | 2024-Oct-25 19:01 |
kodaskanna-lang-0.2.0-r0.apk | 20.6 KiB | 2024-Dec-07 10:14 |
kodaskanna-0.2.0-r0.apk | 49.1 KiB | 2024-Dec-07 10:14 |
ko-zsh-completion-0.17.1-r0.apk | 4.0 KiB | 2024-Dec-14 16:40 |
ko-fish-completion-0.17.1-r0.apk | 4.2 KiB | 2024-Dec-14 16:40 |
ko-bash-completion-0.17.1-r0.apk | 5.0 KiB | 2024-Dec-14 16:40 |
ko-0.17.1-r0.apk | 10.4 MiB | 2024-Dec-14 16:40 |
knxd-dev-0.14.61-r1.apk | 23.5 KiB | 2024-Dec-14 21:23 |
knxd-0.14.61-r1.apk | 397.0 KiB | 2024-Dec-14 21:23 |
knative-client-zsh-completion-1.16.1-r0.apk | 4.0 KiB | 2024-Dec-14 21:23 |
knative-client-fish-completion-1.16.1-r0.apk | 4.2 KiB | 2024-Dec-14 21:23 |
knative-client-bash-completion-1.16.1-r0.apk | 10.1 KiB | 2024-Dec-14 21:23 |
knative-client-1.16.1-r0.apk | 22.1 MiB | 2024-Dec-14 21:23 |
kmscon-doc-9.0.0-r0.apk | 7.7 KiB | 2024-Oct-25 19:01 |
kmscon-9.0.0-r0.apk | 798.4 KiB | 2024-Oct-25 19:01 |
klfc-doc-1.5.7-r0.apk | 400.4 KiB | 2024-Oct-25 19:01 |
klfc-1.5.7-r0.apk | 4.2 MiB | 2024-Oct-25 19:01 |
klevernotes-lang-1.1.0-r0.apk | 142.1 KiB | 2024-Oct-25 19:01 |
klevernotes-1.1.0-r0.apk | 2.3 MiB | 2024-Oct-25 19:01 |
kjv-0_git20221103-r0.apk | 1.5 MiB | 2024-Oct-25 19:01 |
kismet-nxp-kw41z-0.202307.1-r4.apk | 41.8 KiB | 2024-Nov-11 18:38 |
kismet-nrf-51822-0.202307.1-r4.apk | 40.0 KiB | 2024-Nov-11 18:38 |
kismet-logtools-0.202307.1-r4.apk | 1.1 MiB | 2024-Nov-11 18:38 |
kismet-linux-wifi-0.202307.1-r4.apk | 62.6 KiB | 2024-Nov-11 18:38 |
kismet-linux-bluetooth-0.202307.1-r4.apk | 43.6 KiB | 2024-Nov-11 18:38 |
kismet-0.202307.1-r4.apk | 12.0 MiB | 2024-Nov-11 18:38 |
kirc-doc-0.3.2-r0.apk | 2.6 KiB | 2024-Oct-25 19:01 |
kirc-0.3.2-r0.apk | 11.1 KiB | 2024-Oct-25 19:01 |
kine-doc-0.10.1-r8.apk | 5.1 KiB | 2024-Oct-25 19:01 |
kine-0.10.1-r8.apk | 7.8 MiB | 2024-Oct-25 19:01 |
kimchi-pyc-3.0.0-r7.apk | 378.0 KiB | 2024-Oct-25 19:01 |
kimchi-lang-3.0.0-r7.apk | 172.3 KiB | 2024-Oct-25 19:01 |
kimchi-3.0.0-r7.apk | 535.9 KiB | 2024-Oct-25 19:01 |
khronos-lang-4.0.1-r0.apk | 25.7 KiB | 2024-Oct-25 19:01 |
khronos-4.0.1-r0.apk | 53.4 KiB | 2024-Oct-25 19:01 |
khinsider-2.0.7-r15.apk | 3.2 MiB | 2024-Oct-25 19:01 |
kgraphviewer-lang-2.5.0-r0.apk | 230.4 KiB | 2024-Oct-25 19:01 |
kgraphviewer-dev-2.5.0-r0.apk | 6.3 KiB | 2024-Oct-25 19:01 |
kgraphviewer-2.5.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:01 |
kfc-0.1.4-r0.apk | 57.6 KiB | 2024-Oct-25 19:01 |
keystone-python-pyc-0.9.2-r6.apk | 9.7 KiB | 2024-Oct-25 19:01 |
keystone-python-0.9.2-r6.apk | 1.6 MiB | 2024-Oct-25 19:01 |
keystone-dev-0.9.2-r6.apk | 7.3 KiB | 2024-Oct-25 19:01 |
keystone-0.9.2-r6.apk | 1.3 MiB | 2024-Oct-25 19:01 |
keydb-openrc-6.3.4-r0.apk | 2.6 KiB | 2024-Oct-25 19:01 |
keydb-cli-6.3.4-r0.apk | 367.0 KiB | 2024-Oct-25 19:01 |
keydb-benchmark-6.3.4-r0.apk | 371.5 KiB | 2024-Oct-25 19:01 |
keydb-6.3.4-r0.apk | 1.1 MiB | 2024-Oct-25 19:01 |
keybase-client-6.2.8-r5.apk | 18.6 MiB | 2024-Oct-25 19:01 |
kerberoast-pyc-0.2.0-r1.apk | 15.2 KiB | 2024-Oct-25 19:01 |
kerberoast-0.2.0-r1.apk | 9.6 KiB | 2024-Oct-25 19:01 |
keepassxc-browser-1.8.9-r0.apk | 876.5 KiB | 2024-Oct-25 19:01 |
kdiskmark-lang-3.1.4-r1.apk | 26.7 KiB | 2024-Oct-25 19:01 |
kdiskmark-3.1.4-r1.apk | 160.1 KiB | 2024-Oct-25 19:01 |
kbs2-zsh-completion-0.7.2-r3.apk | 4.0 KiB | 2024-Oct-25 19:01 |
kbs2-fish-completion-0.7.2-r3.apk | 3.2 KiB | 2024-Oct-25 19:01 |
kbs2-bash-completion-0.7.2-r3.apk | 3.1 KiB | 2024-Oct-25 19:01 |
kbs2-0.7.2-r3.apk | 1.0 MiB | 2024-Oct-25 19:01 |
katarakt-0.2-r0.apk | 85.5 KiB | 2024-Oct-25 19:01 |
katana-1.1.0-r4.apk | 12.6 MiB | 2024-Oct-25 19:01 |
kapp-zsh-completion-0.64.0-r0.apk | 4.0 KiB | 2024-Dec-11 12:05 |
kapp-fish-completion-0.64.0-r0.apk | 4.2 KiB | 2024-Dec-11 12:05 |
kapp-bash-completion-0.64.0-r0.apk | 8.1 KiB | 2024-Dec-11 12:05 |
kapp-0.64.0-r0.apk | 14.4 MiB | 2024-Dec-11 12:05 |
kapow-0.7.1-r8.apk | 3.3 MiB | 2024-Oct-25 19:01 |
kannel-doc-1.5.0-r11.apk | 6.2 KiB | 2024-Oct-25 19:01 |
kannel-dev-1.5.0-r11.apk | 918.9 KiB | 2024-Oct-25 19:01 |
kannel-1.5.0-r11.apk | 6.2 MiB | 2024-Oct-25 19:01 |
kanister-tools-zsh-completion-0.112.0-r0.apk | 4.3 KiB | 2024-Oct-25 19:01 |
kanister-tools-fish-completion-0.112.0-r0.apk | 4.8 KiB | 2024-Oct-25 19:01 |
kanister-tools-bash-completion-0.112.0-r0.apk | 5.5 KiB | 2024-Oct-25 19:01 |
kanister-tools-0.112.0-r0.apk | 62.1 MiB | 2024-Oct-25 19:01 |
kanidm-zsh-completion-1.4.5-r0.apk | 31.7 KiB | 2024-Dec-21 18:30 |
kanidm-unixd-clients-1.4.5-r0.apk | 8.7 MiB | 2024-Dec-21 18:30 |
kanidm-server-1.4.5-r0.apk | 13.5 MiB | 2024-Dec-21 18:30 |
kanidm-openrc-1.4.5-r0.apk | 1.8 KiB | 2024-Dec-21 18:30 |
kanidm-clients-1.4.5-r0.apk | 3.5 MiB | 2024-Dec-21 18:30 |
kanidm-bash-completion-1.4.5-r0.apk | 23.0 KiB | 2024-Dec-21 18:30 |
kanidm-1.4.5-r0.apk | 1.2 KiB | 2024-Dec-21 18:30 |
kalker-2.2.1-r0.apk | 666.2 KiB | 2024-Oct-25 19:01 |
kabmat-doc-2.7.0-r0.apk | 3.5 KiB | 2024-Oct-25 19:01 |
kabmat-2.7.0-r0.apk | 56.9 KiB | 2024-Oct-25 19:01 |
k3sup-zsh-completion-0.13.6-r0.apk | 4.0 KiB | 2024-Oct-25 19:01 |
k3sup-fish-completion-0.13.6-r0.apk | 4.3 KiB | 2024-Oct-25 19:01 |
k3sup-bash-completion-0.13.6-r0.apk | 5.0 KiB | 2024-Oct-25 19:01 |
k3sup-0.13.6-r0.apk | 2.5 MiB | 2024-Oct-25 19:01 |
k2-0_git20220807-r1.apk | 97.0 KiB | 2024-Oct-25 19:01 |
jwt-cli-6.2.0-r0.apk | 1008.4 KiB | 2024-Dec-14 18:18 |
junit2html-pyc-31.0.2-r0.apk | 23.6 KiB | 2024-Oct-25 19:01 |
junit2html-31.0.2-r0.apk | 16.7 KiB | 2024-Oct-25 19:01 |
jsonnet-language-server-0.14.1-r0.apk | 4.3 MiB | 2024-Oct-25 19:01 |
jsonnet-bundler-0.6.0-r0.apk | 3.2 MiB | 2024-Oct-25 19:01 |
json2tsv-jaq-doc-1.2-r0.apk | 2.3 KiB | 2024-Oct-25 19:01 |
json2tsv-jaq-1.2-r0.apk | 1.9 KiB | 2024-Oct-25 19:01 |
json2tsv-doc-1.2-r0.apk | 5.2 KiB | 2024-Oct-25 19:01 |
json2tsv-1.2-r0.apk | 6.4 KiB | 2024-Oct-25 19:01 |
jsmn-1.1.0-r2.apk | 4.7 KiB | 2024-Oct-25 19:01 |
jrsonnet-cli-0.4.2-r1.apk | 559.8 KiB | 2024-Oct-25 19:01 |
jreleaser-doc-1.15.0-r0.apk | 5.9 KiB | 2024-Oct-31 12:52 |
jreleaser-1.15.0-r0.apk | 39.5 MiB | 2024-Oct-31 12:52 |
jotdown-0.4.0-r0.apk | 212.1 KiB | 2024-Oct-25 19:01 |
jhead-doc-3.08-r0.apk | 7.9 KiB | 2024-Oct-25 19:01 |
jhead-3.08-r0.apk | 31.3 KiB | 2024-Oct-25 19:01 |
jfrog-cli-2.45.0-r7.apk | 9.2 MiB | 2024-Oct-25 19:01 |
jedi-language-server-pyc-0.42.0-r0.apk | 37.3 KiB | 2024-Nov-09 20:42 |
jedi-language-server-0.42.0-r0.apk | 26.0 KiB | 2024-Nov-09 20:42 |
jdupes-doc-1.28.0-r0.apk | 9.0 KiB | 2024-Oct-25 19:01 |
jdupes-1.28.0-r0.apk | 27.2 KiB | 2024-Oct-25 19:01 |
jdebp-redo-doc-1.4-r1.apk | 12.1 KiB | 2024-Oct-25 19:01 |
jdebp-redo-1.4-r1.apk | 93.3 KiB | 2024-Oct-25 19:01 |
jbigkit-doc-2.1-r2.apk | 7.3 KiB | 2024-Oct-25 19:01 |
jbigkit-dev-2.1-r2.apk | 30.3 KiB | 2024-Oct-25 19:01 |
jbigkit-2.1-r2.apk | 67.2 KiB | 2024-Oct-25 19:01 |
java-jtreg-7.5_p1-r0.apk | 4.6 MiB | 2024-Oct-27 13:25 |
java-jtharness-examples-6.0_p12-r0.apk | 218.9 KiB | 2024-Oct-25 19:01 |
java-jtharness-doc-6.0_p12-r0.apk | 11.6 KiB | 2024-Oct-25 19:01 |
java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2024-Oct-25 19:01 |
java-gdcm-3.0.24-r0.apk | 614.1 KiB | 2024-Oct-25 19:01 |
java-asmtools-doc-8.0.09-r0.apk | 6.9 KiB | 2024-Oct-25 19:01 |
java-asmtools-8.0.09-r0.apk | 574.1 KiB | 2024-Oct-25 19:01 |
jaq-doc-2.0.1-r0.apk | 2.2 KiB | 2024-Dec-14 21:22 |
jaq-2.0.1-r0.apk | 636.4 KiB | 2024-Dec-14 21:22 |
jami-qt-lang-20230925-r0.apk | 2.3 MiB | 2024-Oct-25 19:01 |
jami-qt-doc-20230925-r0.apk | 2.7 KiB | 2024-Oct-25 19:01 |
jami-qt-20230925-r0.apk | 13.6 MiB | 2024-Oct-25 19:01 |
jalv-gtk-1.6.8-r1.apk | 31.6 KiB | 2024-Oct-25 19:01 |
jalv-doc-1.6.8-r1.apk | 3.2 KiB | 2024-Oct-25 19:01 |
jalv-1.6.8-r1.apk | 47.8 KiB | 2024-Oct-25 19:01 |
jackline-0.1.0-r3.apk | 3.9 MiB | 2024-Oct-25 19:01 |
jackdaw-pyc-0.3.1-r1.apk | 370.3 KiB | 2024-Oct-25 19:01 |
jackdaw-0.3.1-r1.apk | 2.0 MiB | 2024-Oct-25 19:01 |
jackal-openrc-0.64.0-r10.apk | 1.8 KiB | 2024-Oct-25 19:01 |
jackal-0.64.0-r10.apk | 11.4 MiB | 2024-Oct-25 19:01 |
jack_capture-0.9.73_git20210429-r2.apk | 31.5 KiB | 2024-Oct-25 19:01 |
iwasm-jit-2.2.0-r0.apk | 325.6 KiB | 2024-Dec-05 17:32 |
iwasm-gc-2.2.0-r0.apk | 202.6 KiB | 2024-Dec-05 17:32 |
iwasm-2.2.0-r0.apk | 1.6 KiB | 2024-Dec-05 17:32 |
itd-1.1.0-r8.apk | 9.0 MiB | 2024-Oct-25 19:01 |
it87-src-1_p20240609-r0.apk | 29.7 KiB | 2024-Oct-25 19:01 |
isomd5sum-doc-1.2.3-r3.apk | 3.0 KiB | 2024-Oct-25 19:01 |
isomd5sum-1.2.3-r3.apk | 19.1 KiB | 2024-Oct-25 19:01 |
isoinfo-0_git20131217-r1.apk | 6.5 KiB | 2024-Oct-25 19:01 |
irctk-transport-fossil-1.1.0-r0.apk | 14.7 KiB | 2024-Oct-25 19:01 |
irctk-doc-1.1.0-r0.apk | 16.3 KiB | 2024-Oct-25 19:01 |
irctk-1.1.0-r0.apk | 29.3 KiB | 2024-Oct-25 19:01 |
ircdog-0.5.4-r0.apk | 2.2 MiB | 2024-Oct-25 19:01 |
ircd-hybrid-doc-8.2.45-r1.apk | 3.6 KiB | 2024-Oct-25 19:01 |
ircd-hybrid-8.2.45-r1.apk | 307.1 KiB | 2024-Oct-25 19:01 |
irccd-openrc-4.0.3-r0.apk | 1.8 KiB | 2024-Oct-25 19:01 |
irccd-doc-4.0.3-r0.apk | 80.4 KiB | 2024-Oct-25 19:01 |
irccd-dev-4.0.3-r0.apk | 9.6 KiB | 2024-Oct-25 19:01 |
irccd-4.0.3-r0.apk | 258.7 KiB | 2024-Oct-25 19:01 |
iprange-doc-1.0.4-r1.apk | 4.5 KiB | 2024-Oct-25 19:01 |
iprange-1.0.4-r1.apk | 19.9 KiB | 2024-Oct-25 19:01 |
ip2location-doc-8.6.1-r0.apk | 2.7 KiB | 2024-Oct-25 19:01 |
ip2location-dev-8.6.1-r0.apk | 11.9 KiB | 2024-Oct-25 19:01 |
ip2location-8.6.1-r0.apk | 25.3 KiB | 2024-Oct-25 19:01 |
iotas-pyc-0.9.5-r0.apk | 300.4 KiB | 2024-Dec-07 20:33 |
iotas-lang-0.9.5-r0.apk | 70.8 KiB | 2024-Dec-07 20:33 |
iotas-0.9.5-r0.apk | 184.1 KiB | 2024-Dec-07 20:33 |
invidtui-0.4.6-r0.apk | 3.9 MiB | 2024-Oct-25 19:01 |
intiface-central-2.6.4-r1.apk | 7.2 MiB | 2024-Dec-14 22:29 |
interception-tools-openrc-0.6.8-r2.apk | 1.7 KiB | 2024-Oct-25 19:01 |
interception-tools-0.6.8-r2.apk | 107.3 KiB | 2024-Oct-25 19:01 |
innernet-zsh-completion-1.6.1-r0.apk | 5.5 KiB | 2024-Oct-25 19:01 |
innernet-openrc-1.6.1-r0.apk | 2.3 KiB | 2024-Oct-25 19:01 |
innernet-fish-completion-1.6.1-r0.apk | 4.5 KiB | 2024-Oct-25 19:01 |
innernet-doc-1.6.1-r0.apk | 9.0 KiB | 2024-Oct-25 19:01 |
innernet-bash-completion-1.6.1-r0.apk | 3.8 KiB | 2024-Oct-25 19:01 |
innernet-1.6.1-r0.apk | 2.7 MiB | 2024-Oct-25 19:01 |
initify-0_git20171210-r1.apk | 3.3 KiB | 2024-Oct-25 19:01 |
imrsh-dbg-0_git20210320-r1.apk | 19.3 KiB | 2024-Oct-25 19:01 |
imrsh-0_git20210320-r1.apk | 8.8 KiB | 2024-Oct-25 19:01 |
imgdiff-doc-1.0.2-r21.apk | 2.3 KiB | 2024-Oct-25 19:01 |
imgdiff-1.0.2-r21.apk | 942.0 KiB | 2024-Oct-25 19:01 |
imediff-pyc-2.6-r1.apk | 43.7 KiB | 2024-Oct-25 19:01 |
imediff-doc-2.6-r1.apk | 6.5 KiB | 2024-Oct-25 19:01 |
imediff-2.6-r1.apk | 41.6 KiB | 2024-Oct-25 19:01 |
imapfilter-doc-2.8.2-r0.apk | 12.8 KiB | 2024-Oct-25 19:01 |
imapfilter-2.8.2-r0.apk | 40.8 KiB | 2024-Oct-25 19:01 |
ijq-doc-1.1.0-r3.apk | 3.5 KiB | 2024-Oct-25 19:01 |
ijq-1.1.0-r3.apk | 1.4 MiB | 2024-Oct-25 19:01 |
igt-gpu-tools-dev-1.27.1-r1.apk | 9.6 KiB | 2024-Oct-25 19:01 |
igt-gpu-tools-1.27.1-r1.apk | 4.6 MiB | 2024-Oct-25 19:01 |
igsc-dev-0.9.3-r0.apk | 15.0 KiB | 2024-Oct-25 19:01 |
igsc-0.9.3-r0.apk | 80.4 KiB | 2024-Oct-25 19:01 |
igrep-doc-1.2.0-r0.apk | 4.2 KiB | 2024-Oct-25 19:01 |
igrep-1.2.0-r0.apk | 1.6 MiB | 2024-Oct-25 19:01 |
ifuse-doc-1.1.4-r5.apk | 2.3 KiB | 2024-Oct-30 22:44 |
ifuse-1.1.4-r5.apk | 9.6 KiB | 2024-Oct-30 22:44 |
idevicerestore-doc-1.0.0-r4.apk | 2.5 KiB | 2024-Oct-30 22:44 |
idevicerestore-1.0.0-r4.apk | 80.7 KiB | 2024-Oct-30 22:44 |
ideviceinstaller-doc-1.1.1-r4.apk | 2.5 KiB | 2024-Oct-30 22:44 |
ideviceinstaller-1.1.1-r4.apk | 13.6 KiB | 2024-Oct-30 22:44 |
idesk-1-r1.apk | 69.8 KiB | 2024-Oct-25 19:01 |
icmake-doc-9.03.01-r0.apk | 127.4 KiB | 2024-Oct-25 19:01 |
icmake-9.03.01-r0.apk | 116.3 KiB | 2024-Oct-25 19:01 |
icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1.6 KiB | 2024-Oct-25 19:01 |
icingaweb2-module-pnp-1.1.0-r1.apk | 9.0 KiB | 2024-Oct-25 19:01 |
icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1.8 KiB | 2024-Oct-25 19:01 |
icingaweb2-module-generictts-2.1.0-r0.apk | 6.6 KiB | 2024-Oct-25 19:01 |
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 230.8 KiB | 2024-Oct-25 19:01 |
icingaweb2-module-fileshipper-1.2.0-r3.apk | 10.8 KiB | 2024-Oct-25 19:01 |
icingaweb2-module-businessprocess-doc-2.4.0-r0.apk | 2.2 MiB | 2024-Oct-25 19:01 |
icingaweb2-module-businessprocess-2.4.0-r0.apk | 116.4 KiB | 2024-Oct-25 19:01 |
icestorm-0_git20240517-r0.apk | 16.5 MiB | 2024-Oct-25 19:01 |
icesprog-udev-0_git20240108-r1.apk | 1.9 KiB | 2024-Oct-25 19:01 |
icesprog-0_git20240108-r1.apk | 8.8 KiB | 2024-Oct-25 19:01 |
i3status-rust-doc-0.33.2-r0.apk | 33.5 KiB | 2024-Nov-11 03:20 |
i3status-rust-0.33.2-r0.apk | 4.4 MiB | 2024-Nov-11 03:20 |
i2util-doc-4.2.1-r1.apk | 4.7 KiB | 2024-Oct-25 19:01 |
i2util-dev-4.2.1-r1.apk | 44.5 KiB | 2024-Oct-25 19:01 |
i2util-4.2.1-r1.apk | 20.4 KiB | 2024-Oct-25 19:01 |
hyx-doc-2024.02.29-r0.apk | 2.2 KiB | 2024-Oct-25 19:01 |
hyx-2024.02.29-r0.apk | 16.9 KiB | 2024-Oct-25 19:01 |
hypnotix-lang-3.5-r0.apk | 72.4 KiB | 2024-Oct-25 19:01 |
hypnotix-3.5-r0.apk | 110.1 KiB | 2024-Oct-25 19:01 |
hyfetch-zsh-completion-1.99.0-r1.apk | 2.5 KiB | 2024-Oct-25 19:01 |
hyfetch-pyc-1.99.0-r1.apk | 180.0 KiB | 2024-Oct-25 19:01 |
hyfetch-doc-1.99.0-r1.apk | 16.5 KiB | 2024-Oct-25 19:01 |
hyfetch-bash-completion-1.99.0-r1.apk | 3.3 KiB | 2024-Oct-25 19:01 |
hyfetch-1.99.0-r1.apk | 432.9 KiB | 2024-Oct-25 19:01 |
hy-pyc-1.0.0-r0.apk | 169.1 KiB | 2024-Oct-25 19:01 |
hy-1.0.0-r0.apk | 85.3 KiB | 2024-Oct-25 19:01 |
hx-doc-1.0.15-r0.apk | 4.8 KiB | 2024-Oct-25 19:01 |
hx-1.0.15-r0.apk | 14.5 KiB | 2024-Oct-25 19:01 |
hwatch-zsh-completion-0.3.11-r0.apk | 1.9 KiB | 2024-Oct-25 19:01 |
hwatch-fish-completion-0.3.11-r0.apk | 1.8 KiB | 2024-Oct-25 19:01 |
hwatch-doc-0.3.11-r0.apk | 3.0 KiB | 2024-Oct-25 19:01 |
hwatch-0.3.11-r0.apk | 1005.8 KiB | 2024-Oct-25 19:01 |
hw-probe-1.6.5-r2.apk | 117.2 KiB | 2024-Oct-25 19:01 |
hurlfmt-6.0.0-r0.apk | 870.2 KiB | 2024-Dec-07 20:20 |
hurl-zsh-completion-6.0.0-r0.apk | 3.9 KiB | 2024-Dec-07 20:20 |
hurl-fish-completion-6.0.0-r0.apk | 3.4 KiB | 2024-Dec-07 20:20 |
hurl-doc-6.0.0-r0.apk | 8.6 KiB | 2024-Dec-07 20:20 |
hurl-bash-completion-6.0.0-r0.apk | 2.2 KiB | 2024-Dec-07 20:20 |
hurl-6.0.0-r0.apk | 1.8 MiB | 2024-Dec-07 20:20 |
hunspell-es-ar-doc-2.7-r0.apk | 2.8 KiB | 2024-Oct-25 19:01 |
hunspell-es-ar-2.7-r0.apk | 226.5 KiB | 2024-Oct-25 19:01 |
hunspell-ca-es-3.0.7-r0.apk | 731.3 KiB | 2024-Oct-25 19:01 |
hubble-cli-zsh-completion-0.13.6-r0.apk | 4.0 KiB | 2024-Oct-25 19:01 |
hubble-cli-fish-completion-0.13.6-r0.apk | 4.3 KiB | 2024-Oct-25 19:01 |
hubble-cli-bash-completion-0.13.6-r0.apk | 5.1 KiB | 2024-Oct-25 19:01 |
hubble-cli-0.13.6-r0.apk | 18.1 MiB | 2024-Oct-25 19:01 |
hub-zsh-completion-2.14.2-r26.apk | 3.7 KiB | 2024-Oct-25 19:01 |
hub-fish-completion-2.14.2-r26.apk | 3.3 KiB | 2024-Oct-25 19:01 |
hub-doc-2.14.2-r26.apk | 43.4 KiB | 2024-Oct-25 19:01 |
hub-bash-completion-2.14.2-r26.apk | 4.6 KiB | 2024-Oct-25 19:01 |
hub-2.14.2-r26.apk | 2.7 MiB | 2024-Oct-25 19:01 |
httrack-doc-3.49.2-r5.apk | 528.0 KiB | 2024-Oct-25 19:01 |
httrack-3.49.2-r5.apk | 741.8 KiB | 2024-Oct-25 19:01 |
httpx-doc-1.6.9-r1.apk | 2.2 KiB | 2024-Dec-01 17:52 |
httpx-1.6.9-r1.apk | 13.3 MiB | 2024-Dec-01 17:52 |
httpie-oauth-pyc-1.0.2-r9.apk | 2.3 KiB | 2024-Oct-25 19:01 |
httpie-oauth-1.0.2-r9.apk | 3.4 KiB | 2024-Oct-25 19:01 |
htslib-tools-1.19-r0.apk | 1.3 MiB | 2024-Oct-25 19:01 |
htslib-static-1.19-r0.apk | 494.3 KiB | 2024-Oct-25 19:01 |
htslib-doc-1.19-r0.apk | 22.7 KiB | 2024-Oct-25 19:01 |
htslib-dev-1.19-r0.apk | 115.3 KiB | 2024-Oct-25 19:01 |
htslib-1.19-r0.apk | 393.7 KiB | 2024-Oct-25 19:01 |
htmldoc-doc-1.9.20-r0.apk | 98.0 KiB | 2024-Dec-10 17:03 |
htmldoc-1.9.20-r0.apk | 2.3 MiB | 2024-Dec-10 17:03 |
htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2024-Oct-25 19:01 |
htmlcxx-0.87-r1.apk | 62.6 KiB | 2024-Oct-25 19:01 |
hstdb-2.1.0-r2.apk | 877.9 KiB | 2024-Oct-25 19:01 |
hsetroot-1.0.5-r1.apk | 10.6 KiB | 2024-Oct-25 19:01 |
hpnssh-doc-18.4.1-r0.apk | 98.2 KiB | 2024-Oct-25 19:01 |
hpnssh-18.4.1-r0.apk | 2.2 MiB | 2024-Oct-25 19:01 |
hping3-doc-20051105-r4.apk | 16.9 KiB | 2024-Oct-25 19:01 |
hping3-20051105-r4.apk | 72.3 KiB | 2024-Oct-25 19:01 |
howard-bc-doc-7.0.3-r0.apk | 38.7 KiB | 2024-Oct-25 19:01 |
howard-bc-7.0.3-r0.apk | 67.4 KiB | 2024-Oct-25 19:01 |
horust-doc-0.1.7-r2.apk | 9.2 KiB | 2024-Oct-25 19:01 |
horust-0.1.7-r2.apk | 1.0 MiB | 2024-Oct-25 19:01 |
horizon-tools-0.9.6-r9.apk | 79.2 KiB | 2024-Oct-25 19:01 |
horizon-image-0.9.6-r9.apk | 65.6 KiB | 2024-Oct-25 19:01 |
horizon-doc-0.9.6-r9.apk | 21.4 KiB | 2024-Oct-25 19:01 |
horizon-dev-0.9.6-r9.apk | 4.9 KiB | 2024-Oct-25 19:01 |
horizon-dbg-0.9.6-r9.apk | 4.0 MiB | 2024-Oct-25 19:01 |
horizon-0.9.6-r9.apk | 198.7 KiB | 2024-Oct-25 19:01 |
hopalong-0.1-r3.apk | 23.4 KiB | 2024-Oct-25 19:01 |
homebank-lang-5.8.5-r0.apk | 921.4 KiB | 2024-Oct-25 19:01 |
homebank-5.8.5-r0.apk | 1.9 MiB | 2024-Oct-25 19:01 |
hitide-openrc-0.15.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
hitide-0.15.0-r0.apk | 1.9 MiB | 2024-Oct-25 19:01 |
hiprompt-gtk-py-0.8.0-r0.apk | 8.3 KiB | 2024-Oct-25 19:01 |
himitsu-keyring-0.2.0-r0.apk | 13.3 KiB | 2024-Oct-25 19:01 |
himitsu-git-0.3.0-r0.apk | 107.9 KiB | 2024-Oct-25 19:01 |
himitsu-firefox-0.6-r1.apk | 168.1 KiB | 2024-Nov-29 00:08 |
hilbish-doc-2.3.3-r0.apk | 24.9 KiB | 2024-Nov-29 06:53 |
hilbish-2.3.3-r0.apk | 3.4 MiB | 2024-Nov-29 06:53 |
hikari-unlocker-2.3.3-r6.apk | 4.0 KiB | 2024-Oct-25 19:01 |
hikari-doc-2.3.3-r6.apk | 13.6 KiB | 2024-Oct-25 19:01 |
hikari-2.3.3-r6.apk | 940.7 KiB | 2024-Oct-25 19:01 |
highctidh-dev-1.0.2024092800-r0.apk | 325.6 KiB | 2024-Nov-25 20:24 |
highctidh-1.0.2024092800-r0.apk | 301.9 KiB | 2024-Nov-25 20:24 |
hidrd-dev-0.2.0_git20190603-r1.apk | 126.3 KiB | 2024-Oct-25 19:01 |
hidrd-0.2.0_git20190603-r1.apk | 74.4 KiB | 2024-Oct-25 19:01 |
hiawatha-openrc-11.6-r0.apk | 1.7 KiB | 2024-Oct-25 19:01 |
hiawatha-letsencrypt-11.6-r0.apk | 17.6 KiB | 2024-Oct-25 19:01 |
hiawatha-doc-11.6-r0.apk | 21.1 KiB | 2024-Oct-25 19:01 |
hiawatha-11.6-r0.apk | 180.6 KiB | 2024-Oct-25 19:01 |
hfst-libs-3.16.0-r2.apk | 1.7 MiB | 2024-Oct-25 19:01 |
hfst-doc-3.16.0-r2.apk | 71.1 KiB | 2024-Oct-25 19:01 |
hfst-dev-3.16.0-r2.apk | 212.5 KiB | 2024-Oct-25 19:01 |
hfst-3.16.0-r2.apk | 1.3 MiB | 2024-Oct-25 19:01 |
hexer-dev-1.4.0-r16.apk | 7.3 KiB | 2024-Nov-08 04:49 |
hexer-1.4.0-r16.apk | 55.6 KiB | 2024-Nov-08 04:49 |
hexedit-doc-1.6_git20230905-r0.apk | 5.6 KiB | 2024-Oct-25 19:01 |
hexedit-1.6_git20230905-r0.apk | 16.4 KiB | 2024-Oct-25 19:01 |
hexdiff-doc-0.0.53-r2.apk | 3.7 KiB | 2024-Oct-25 19:01 |
hexdiff-0.0.53-r2.apk | 14.9 KiB | 2024-Oct-25 19:01 |
hex-0.6.0-r0.apk | 292.5 KiB | 2024-Oct-25 19:01 |
herbe-1.0.0-r0.apk | 5.8 KiB | 2024-Oct-25 19:01 |
helvum-0.5.1-r0.apk | 312.5 KiB | 2024-Oct-25 19:01 |
helmfile-zsh-completion-0.169.2-r0.apk | 4.0 KiB | 2024-Dec-14 17:24 |
helmfile-fish-completion-0.169.2-r0.apk | 4.3 KiB | 2024-Dec-14 17:24 |
helmfile-doc-0.169.2-r0.apk | 2.2 KiB | 2024-Dec-14 17:24 |
helmfile-bash-completion-0.169.2-r0.apk | 5.1 KiB | 2024-Dec-14 17:24 |
helmfile-0.169.2-r0.apk | 46.0 MiB | 2024-Dec-14 17:24 |
helm-ls-doc-0.0.12-r4.apk | 2.2 KiB | 2024-Oct-25 19:01 |
helm-ls-0.0.12-r4.apk | 11.1 MiB | 2024-Oct-25 19:01 |
heisenbridge-pyc-1.14.6-r0.apk | 152.0 KiB | 2024-Oct-25 19:01 |
heisenbridge-1.14.6-r0.apk | 66.6 KiB | 2024-Oct-25 19:01 |
heh-doc-0.6.1-r0.apk | 4.1 KiB | 2024-Oct-25 19:01 |
heh-0.6.1-r0.apk | 471.7 KiB | 2024-Oct-25 19:01 |
hdr10plus-tool-1.6.0-r0.apk | 758.5 KiB | 2024-Oct-25 19:01 |
hdf4-tools-4.2.15-r2.apk | 186.3 KiB | 2024-Oct-25 19:01 |
hdf4-doc-4.2.15-r2.apk | 6.0 KiB | 2024-Oct-25 19:01 |
hdf4-dev-4.2.15-r2.apk | 100.9 KiB | 2024-Oct-25 19:01 |
hdf4-4.2.15-r2.apk | 254.3 KiB | 2024-Oct-25 19:01 |
hddfancontrol-pyc-1.6.2-r0.apk | 34.0 KiB | 2024-Oct-25 19:01 |
hddfancontrol-openrc-1.6.2-r0.apk | 2.2 KiB | 2024-Oct-25 19:01 |
hddfancontrol-1.6.2-r0.apk | 32.8 KiB | 2024-Oct-25 19:01 |
hctl-0.2.6-r0.apk | 1.2 MiB | 2024-Oct-25 19:01 |
haxe-doc-4.3.6-r0.apk | 7.7 KiB | 2024-Nov-29 06:11 |
haxe-4.3.6-r0.apk | 10.1 MiB | 2024-Nov-29 06:11 |
hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2024-Oct-25 19:01 |
hatop-0.8.2-r0.apk | 18.0 KiB | 2024-Oct-25 19:01 |
haskell-language-server-2.9.0.0-r0.apk | 67.9 MiB | 2024-Oct-25 19:01 |
hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2024-Oct-25 19:01 |
hashcat-6.2.6-r0.apk | 61.3 MiB | 2024-Oct-25 19:01 |
harminv-libs-1.4.2-r1.apk | 14.1 KiB | 2024-Oct-25 19:01 |
harminv-doc-1.4.2-r1.apk | 5.7 KiB | 2024-Oct-25 19:01 |
harminv-dev-1.4.2-r1.apk | 3.1 KiB | 2024-Oct-25 19:01 |
harminv-1.4.2-r1.apk | 7.6 KiB | 2024-Oct-25 19:01 |
hari-0_git20240715-r0.apk | 22.0 KiB | 2024-Oct-25 19:01 |
haredo-doc-1.0.5-r1.apk | 4.7 KiB | 2024-Nov-29 00:08 |
haredo-1.0.5-r1.apk | 121.6 KiB | 2024-Nov-29 00:08 |
hare-xkb-0_git20231011-r1.apk | 24.8 KiB | 2024-Nov-29 00:08 |
hare-wayland-0_git20240817-r1.apk | 187.7 KiB | 2024-Nov-29 00:08 |
hare-unicode-0_git20240417-r1.apk | 24.6 KiB | 2024-Nov-29 00:08 |
hare-scfg-0.24.2-r1.apk | 4.0 KiB | 2024-Nov-29 00:08 |
hare-message-0_git20240425-r0.apk | 8.5 KiB | 2024-Nov-29 13:19 |
hare-madeline-doc-0.1_git20240505-r1.apk | 2.2 KiB | 2024-Nov-29 00:08 |
hare-madeline-0.1_git20240505-r1.apk | 24.6 KiB | 2024-Nov-29 00:08 |
hare-http-0_git20240419-r0.apk | 11.8 KiB | 2024-Nov-29 13:19 |
hare-harfbuzz-0_git20240715-r1.apk | 17.6 KiB | 2024-Nov-29 00:08 |
hare-compress-0_git20240623-r1.apk | 413.2 KiB | 2024-Nov-29 00:08 |
hare-cairo-0_git20240217-r1.apk | 58.9 KiB | 2024-Nov-29 00:08 |
hardened-malloc-13-r0.apk | 34.0 KiB | 2024-Oct-25 19:01 |
haproxy-dataplaneapi-openrc-3.0.1-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
haproxy-dataplaneapi-3.0.1-r0.apk | 11.7 MiB | 2024-Oct-25 19:01 |
handlebars-utils-1.0.0-r1.apk | 10.2 KiB | 2024-Oct-25 19:01 |
handlebars-dev-1.0.0-r1.apk | 32.4 KiB | 2024-Oct-25 19:01 |
handlebars-1.0.0-r1.apk | 105.7 KiB | 2024-Oct-25 19:01 |
hamster-time-tracker-pyc-3.0.3-r2.apk | 358.1 KiB | 2024-Oct-25 19:01 |
hamster-time-tracker-lang-3.0.3-r2.apk | 205.7 KiB | 2024-Oct-25 19:01 |
hamster-time-tracker-doc-3.0.3-r2.apk | 116.2 KiB | 2024-Oct-25 19:01 |
hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2.0 KiB | 2024-Oct-25 19:01 |
hamster-time-tracker-3.0.3-r2.apk | 155.8 KiB | 2024-Oct-25 19:01 |
halp-zsh-completion-0.2.0-r0.apk | 2.4 KiB | 2024-Oct-25 19:01 |
halp-fish-completion-0.2.0-r0.apk | 2.0 KiB | 2024-Oct-25 19:01 |
halp-doc-0.2.0-r0.apk | 6.9 KiB | 2024-Oct-25 19:01 |
halp-bash-completion-0.2.0-r0.apk | 2.2 KiB | 2024-Oct-25 19:01 |
halp-0.2.0-r0.apk | 1.1 MiB | 2024-Oct-25 19:01 |
habitctl-0.1.0-r2.apk | 323.8 KiB | 2024-Oct-25 19:01 |
h4h5tools-static-2.2.5-r4.apk | 107.0 KiB | 2024-Oct-25 19:01 |
h4h5tools-doc-2.2.5-r4.apk | 2.7 KiB | 2024-Oct-25 19:01 |
h4h5tools-dev-2.2.5-r4.apk | 8.8 KiB | 2024-Oct-25 19:01 |
h4h5tools-2.2.5-r4.apk | 108.4 KiB | 2024-Oct-25 19:01 |
gx-go-doc-1.9.0-r27.apk | 2.3 KiB | 2024-Oct-25 19:01 |
gx-go-1.9.0-r27.apk | 4.7 MiB | 2024-Oct-25 19:01 |
gx-doc-0.14.3-r25.apk | 2.3 KiB | 2024-Oct-25 19:01 |
gx-0.14.3-r25.apk | 4.4 MiB | 2024-Oct-25 19:01 |
gutenprint-static-5.3.4-r5.apk | 801.0 KiB | 2024-Oct-25 19:01 |
gutenprint-samples-5.3.4-r5.apk | 637.7 KiB | 2024-Oct-25 19:01 |
gutenprint-libs-5.3.4-r5.apk | 509.8 KiB | 2024-Oct-25 19:01 |
gutenprint-lang-5.3.4-r5.apk | 1.9 MiB | 2024-Oct-25 19:01 |
gutenprint-doc-5.3.4-r5.apk | 8.4 KiB | 2024-Oct-25 19:01 |
gutenprint-dev-5.3.4-r5.apk | 35.7 KiB | 2024-Oct-25 19:01 |
gutenprint-cups-5.3.4-r5.apk | 48.8 MiB | 2024-Oct-25 19:01 |
gutenprint-5.3.4-r5.apk | 814.5 KiB | 2024-Oct-25 19:01 |
gupnp-doc-1.6.7-r0.apk | 3.7 KiB | 2024-Dec-01 12:57 |
gupnp-dlna-dev-0.12.0-r0.apk | 24.3 KiB | 2024-Dec-01 12:57 |
gupnp-dlna-0.12.0-r0.apk | 64.5 KiB | 2024-Dec-01 12:57 |
gupnp-dev-1.6.7-r0.apk | 50.2 KiB | 2024-Dec-01 12:57 |
gupnp-av-dev-0.14.1-r0.apk | 40.9 KiB | 2024-Dec-01 12:57 |
gupnp-av-0.14.1-r0.apk | 78.6 KiB | 2024-Dec-01 12:57 |
gupnp-1.6.7-r0.apk | 88.4 KiB | 2024-Dec-01 12:57 |
guish-doc-2.6.10-r0.apk | 61.8 KiB | 2024-Oct-25 19:01 |
guish-2.6.10-r0.apk | 101.0 KiB | 2024-Oct-25 19:01 |
gufw-pyc-24.04-r3.apk | 64.6 KiB | 2024-Nov-19 21:49 |
gufw-lang-24.04-r3.apk | 854.6 KiB | 2024-Nov-19 21:49 |
gufw-doc-24.04-r3.apk | 4.5 KiB | 2024-Nov-19 21:49 |
gufw-24.04-r3.apk | 596.5 KiB | 2024-Nov-19 21:49 |
guetzli-dev-0_git20191025-r1.apk | 2.3 MiB | 2024-Oct-25 19:01 |
guetzli-0_git20191025-r1.apk | 151.1 KiB | 2024-Oct-25 19:01 |
guestfs-tools-1.52.0-r1.apk | 274.1 KiB | 2024-Oct-25 19:01 |
guake-pyc-3.10-r1.apk | 185.9 KiB | 2024-Oct-25 19:01 |
guake-lang-3.10-r1.apk | 187.8 KiB | 2024-Oct-25 19:01 |
guake-3.10-r1.apk | 305.3 KiB | 2024-Oct-25 19:01 |
gtkwave-doc-3.3.120-r0.apk | 27.1 KiB | 2024-Oct-25 19:01 |
gtkwave-3.3.120-r0.apk | 2.5 MiB | 2024-Oct-25 19:01 |
gtklock-doc-2.1.0-r0.apk | 2.9 KiB | 2024-Oct-25 19:01 |
gtklock-2.1.0-r0.apk | 15.9 KiB | 2024-Oct-25 19:01 |
gtkhash-lang-1.5-r0.apk | 46.6 KiB | 2024-Oct-25 19:01 |
gtkhash-1.5-r0.apk | 87.4 KiB | 2024-Oct-25 19:01 |
gtk4-layer-shell-doc-1.0.4-r1.apk | 2.2 KiB | 2024-Nov-08 09:55 |
gtk4-layer-shell-dev-1.0.4-r1.apk | 9.0 KiB | 2024-Nov-08 09:55 |
gtk4-layer-shell-demo-1.0.4-r1.apk | 9.8 KiB | 2024-Nov-08 09:55 |
gtk4-layer-shell-1.0.4-r1.apk | 14.8 KiB | 2024-Nov-08 09:55 |
gstreamermm-dev-1.10.0-r4.apk | 320.2 KiB | 2024-Oct-25 19:01 |
gstreamermm-1.10.0-r4.apk | 487.1 KiB | 2024-Oct-25 19:01 |
gst-rtsp-server-dev-1.24.10-r0.apk | 93.4 KiB | 2024-Dec-05 17:12 |
gst-rtsp-server-1.24.10-r0.apk | 233.1 KiB | 2024-Dec-05 17:12 |
gssdp-dev-1.6.3-r0.apk | 15.5 KiB | 2024-Dec-01 12:57 |
gssdp-1.6.3-r0.apk | 44.9 KiB | 2024-Dec-01 12:57 |
gsimplecal-doc-2.5.1-r0.apk | 5.8 KiB | 2024-Oct-25 19:01 |
gsimplecal-2.5.1-r0.apk | 15.6 KiB | 2024-Oct-25 19:01 |
gsettings-qt-dev-0.2_git20220807-r1.apk | 3.6 KiB | 2024-Oct-25 19:01 |
gsettings-qt-0.2_git20220807-r1.apk | 42.6 KiB | 2024-Oct-25 19:01 |
grpcurl-1.9.2-r0.apk | 8.2 MiB | 2024-Dec-14 21:22 |
grpcui-1.4.2-r0.apk | 8.6 MiB | 2024-Dec-01 17:08 |
grpc-health-check-0.1.1-r3.apk | 1020.8 KiB | 2024-Oct-25 19:01 |
gron-0.7.1-r19.apk | 2.3 MiB | 2024-Oct-25 19:01 |
grlx-sprout-openrc-1.0.5-r0.apk | 1.6 KiB | 2024-Oct-25 19:01 |
grlx-sprout-1.0.5-r0.apk | 6.5 MiB | 2024-Oct-25 19:01 |
grlx-farmer-openrc-1.0.5-r0.apk | 1.6 KiB | 2024-Oct-25 19:01 |
grlx-farmer-1.0.5-r0.apk | 13.2 MiB | 2024-Oct-25 19:01 |
grlx-1.0.5-r0.apk | 14.1 MiB | 2024-Oct-25 19:01 |
grip-lang-4.2.4-r0.apk | 144.4 KiB | 2024-Oct-25 19:01 |
grip-doc-4.2.4-r0.apk | 6.2 KiB | 2024-Oct-25 19:01 |
grip-4.2.4-r0.apk | 381.3 KiB | 2024-Oct-25 19:01 |
greetd-wlgreet-0.5.0-r0.apk | 605.1 KiB | 2024-Oct-25 19:01 |
greetd-regreet-0.1.1-r0.apk | 913.7 KiB | 2024-Oct-25 19:01 |
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.3 KiB | 2024-Oct-25 19:01 |
greetd-mini-wl-greeter-bash-completion-0_git202..> | 2.2 KiB | 2024-Oct-25 19:01 |
greetd-mini-wl-greeter-0_git20230821-r0.apk | 18.7 KiB | 2024-Oct-25 19:01 |
grcov-0.8.20-r0.apk | 1.9 MiB | 2024-Nov-11 10:06 |
grass-0.13.4-r0.apk | 856.6 KiB | 2024-Oct-25 19:01 |
granite7-lang-7.5.0-r0.apk | 52.0 KiB | 2024-Oct-25 19:01 |
granite7-dev-7.5.0-r0.apk | 41.0 KiB | 2024-Oct-25 19:01 |
granite7-7.5.0-r0.apk | 119.0 KiB | 2024-Oct-25 19:01 |
grafana-image-renderer-openrc-3.11.3-r0.apk | 2.0 KiB | 2024-Oct-25 19:01 |
grafana-image-renderer-3.11.3-r0.apk | 69.8 MiB | 2024-Oct-25 19:01 |
gr-satellites-doc-5.5.0-r4.apk | 4.5 KiB | 2024-Dec-18 12:39 |
gr-satellites-dev-5.5.0-r4.apk | 12.7 KiB | 2024-Dec-18 12:39 |
gr-satellites-5.5.0-r4.apk | 495.8 KiB | 2024-Dec-18 12:39 |
gpscorrelate-lang-2.2-r0.apk | 17.0 KiB | 2024-Nov-12 11:18 |
gpscorrelate-doc-2.2-r0.apk | 227.9 KiB | 2024-Nov-12 11:18 |
gpscorrelate-cli-2.2-r0.apk | 24.5 KiB | 2024-Nov-12 11:18 |
gpscorrelate-2.2-r0.apk | 47.9 KiB | 2024-Nov-12 11:18 |
gpsbabel-lang-1.9.0-r0.apk | 88.2 KiB | 2024-Oct-25 19:01 |
gpsbabel-1.9.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:01 |
gprbuild-22.0.0-r3.apk | 12.3 MiB | 2024-Oct-25 19:01 |
gpg-remailer-doc-3.04.07-r1.apk | 9.5 KiB | 2024-Oct-25 19:01 |
gpg-remailer-3.04.07-r1.apk | 45.4 KiB | 2024-Oct-25 19:01 |
gperftools-doc-2.16-r0.apk | 308.1 KiB | 2024-Oct-25 19:01 |
gperftools-dev-2.16-r0.apk | 783.4 KiB | 2024-Oct-25 19:01 |
gperftools-2.16-r0.apk | 121.2 KiB | 2024-Oct-25 19:01 |
gpa-doc-0.10.0-r2.apk | 2.9 KiB | 2024-Oct-25 19:01 |
gpa-0.10.0-r2.apk | 240.6 KiB | 2024-Oct-25 19:01 |
goxel-0.15.1-r0.apk | 1.7 MiB | 2024-Oct-25 19:01 |
gotify-openrc-2.5.0-r0.apk | 2.0 KiB | 2024-Oct-25 19:01 |
gotify-cli-2.3.2-r0.apk | 4.0 MiB | 2024-Oct-25 19:01 |
gotify-2.5.0-r0.apk | 9.6 MiB | 2024-Oct-25 19:01 |
gotestsum-1.12.0-r2.apk | 2.3 MiB | 2024-Oct-25 19:01 |
gotestfmt-2.5.0-r0.apk | 1.4 MiB | 2024-Dec-04 18:17 |
gosu-1.17-r5.apk | 1.0 MiB | 2024-Oct-25 19:01 |
gortr-openrc-0.14.8-r8.apk | 2.0 KiB | 2024-Oct-25 19:01 |
gortr-0.14.8-r8.apk | 9.7 MiB | 2024-Oct-25 19:01 |
goreman-0.3.15-r8.apk | 2.1 MiB | 2024-Oct-25 19:01 |
goomwwm-1.0.0-r5.apk | 46.4 KiB | 2024-Oct-25 19:01 |
gomp-1.0.0-r7.apk | 3.4 MiB | 2024-Oct-25 19:01 |
godot-templates-4.3-r2.apk | 42.5 MiB | 2024-Oct-25 19:01 |
godot-doc-4.3-r2.apk | 4.5 KiB | 2024-Oct-25 19:01 |
godot-4.3-r2.apk | 46.0 MiB | 2024-Oct-25 19:01 |
gobuster-3.6.0-r7.apk | 3.3 MiB | 2024-Oct-25 19:01 |
gobang-0.1.0_alpha5-r1.apk | 1.9 MiB | 2024-Oct-25 19:01 |
go-passbolt-cli-0.3.1-r3.apk | 6.6 MiB | 2024-Oct-25 19:01 |
go-mtpfs-1.0.0-r22.apk | 1.1 MiB | 2024-Oct-25 19:01 |
go-jsonnet-0.20.0-r9.apk | 6.0 MiB | 2024-Oct-25 19:01 |
gnucash-lang-5.9-r1.apk | 8.1 MiB | 2024-Nov-18 23:51 |
gnucash-doc-5.9-r1.apk | 1.4 MiB | 2024-Nov-18 23:51 |
gnucash-dev-5.9-r1.apk | 302.2 KiB | 2024-Nov-18 23:51 |
gnucash-5.9-r1.apk | 8.2 MiB | 2024-Nov-18 23:51 |
gnu-apl-doc-1.9-r0.apk | 1.6 MiB | 2024-Oct-25 19:01 |
gnu-apl-dev-1.9-r0.apk | 588.7 KiB | 2024-Oct-25 19:01 |
gnu-apl-1.9-r0.apk | 1.2 MiB | 2024-Oct-25 19:01 |
gnome-user-share-lang-47.0-r0.apk | 66.3 KiB | 2024-Oct-25 19:01 |
gnome-user-share-47.0-r0.apk | 13.7 KiB | 2024-Oct-25 19:01 |
gnome-metronome-lang-1.3.0-r0.apk | 24.7 KiB | 2024-Oct-25 19:01 |
gnome-metronome-1.3.0-r0.apk | 457.9 KiB | 2024-Oct-25 19:01 |
gnome-latex-lang-3.47.0-r2.apk | 530.3 KiB | 2024-Dec-12 19:29 |
gnome-latex-doc-3.47.0-r2.apk | 105.0 KiB | 2024-Dec-12 19:29 |
gnome-latex-3.47.0-r2.apk | 364.2 KiB | 2024-Dec-12 19:29 |
gnome-common-3.18.0-r3.apk | 11.5 KiB | 2024-Oct-25 19:01 |
gmsh-py-4.12.2-r2.apk | 6.7 KiB | 2024-Oct-25 19:01 |
gmsh-doc-4.12.2-r2.apk | 1.9 MiB | 2024-Oct-25 19:01 |
gmsh-dbg-4.12.2-r2.apk | 144.1 MiB | 2024-Oct-25 19:01 |
gmsh-4.12.2-r2.apk | 8.9 MiB | 2024-Oct-25 19:01 |
gmid-openrc-2.1.1-r0.apk | 2.2 KiB | 2024-Nov-27 19:26 |
gmid-doc-2.1.1-r0.apk | 14.4 KiB | 2024-Nov-27 19:26 |
gmid-2.1.1-r0.apk | 221.4 KiB | 2024-Nov-27 19:26 |
gmic-qt-3.3.5-r1.apk | 1.7 MiB | 2024-Nov-21 23:30 |
gmic-libs-3.3.5-r1.apk | 4.6 MiB | 2024-Nov-21 23:30 |
gmic-doc-3.3.5-r1.apk | 218.8 KiB | 2024-Nov-21 23:30 |
gmic-dev-3.3.5-r1.apk | 7.7 KiB | 2024-Nov-21 23:30 |
gmic-bash-completion-3.3.5-r1.apk | 28.4 KiB | 2024-Nov-21 23:30 |
gmic-3.3.5-r1.apk | 11.4 MiB | 2024-Nov-21 23:30 |
gmenuharness-dev-0.1.4-r1.apk | 4.3 KiB | 2024-Oct-25 19:01 |
gmenuharness-0.1.4-r1.apk | 36.7 KiB | 2024-Oct-25 19:01 |
glslviewer-3.2.4-r0.apk | 1.8 MiB | 2024-Oct-25 19:01 |
glow-zsh-completion-2.0.0-r0.apk | 4.0 KiB | 2024-Oct-25 19:01 |
glow-fish-completion-2.0.0-r0.apk | 4.3 KiB | 2024-Oct-25 19:01 |
glow-doc-2.0.0-r0.apk | 3.2 KiB | 2024-Oct-25 19:01 |
glow-bash-completion-2.0.0-r0.apk | 5.1 KiB | 2024-Oct-25 19:01 |
glow-2.0.0-r0.apk | 5.8 MiB | 2024-Oct-25 19:01 |
gloox-dev-1.0.28-r0.apk | 877.6 KiB | 2024-Oct-25 19:01 |
gloox-1.0.28-r0.apk | 364.2 KiB | 2024-Oct-25 19:01 |
glmark2-doc-2023.01-r1.apk | 12.8 KiB | 2024-Oct-25 19:01 |
glmark2-2023.01-r1.apk | 7.9 MiB | 2024-Oct-25 19:01 |
gliderlabs-sigil-doc-0.11.0-r0.apk | 2.4 KiB | 2024-Oct-25 19:01 |
gliderlabs-sigil-0.11.0-r0.apk | 3.0 MiB | 2024-Oct-25 19:01 |
glfw-wayland-dev-3.3.8-r3.apk | 45.6 KiB | 2024-Oct-25 19:01 |
glfw-wayland-dbg-3.3.8-r3.apk | 195.4 KiB | 2024-Oct-25 19:01 |
glfw-wayland-3.3.8-r3.apk | 61.0 KiB | 2024-Oct-25 19:01 |
gitoxide-0.14.0-r1.apk | 2.7 MiB | 2024-Oct-25 19:01 |
git2json-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-25 19:01 |
git2json-0.2.3-r8.apk | 7.4 KiB | 2024-Oct-25 19:01 |
git-secret-doc-0.5.0-r0.apk | 17.1 KiB | 2024-Oct-25 19:01 |
git-secret-0.5.0-r0.apk | 14.8 KiB | 2024-Oct-25 19:01 |
git-revise-pyc-0.7.0-r5.apk | 42.2 KiB | 2024-Oct-25 19:01 |
git-revise-doc-0.7.0-r5.apk | 5.0 KiB | 2024-Oct-25 19:01 |
git-revise-0.7.0-r5.apk | 24.3 KiB | 2024-Oct-25 19:01 |
git-quick-stats-doc-2.5.8-r0.apk | 2.9 KiB | 2024-Oct-25 19:01 |
git-quick-stats-2.5.8-r0.apk | 12.4 KiB | 2024-Oct-25 19:01 |
git-graph-doc-0.6.0-r0.apk | 6.2 KiB | 2024-Nov-25 23:38 |
git-graph-0.6.0-r0.apk | 860.3 KiB | 2024-Nov-25 23:38 |
git-extras-doc-7.3.0-r0.apk | 63.3 KiB | 2024-Nov-17 12:49 |
git-extras-bash-completion-7.3.0-r0.apk | 2.8 KiB | 2024-Nov-17 12:49 |
git-extras-7.3.0-r0.apk | 55.4 KiB | 2024-Nov-17 12:49 |
git-cola-pyc-4.10.1-r0.apk | 770.3 KiB | 2024-Dec-15 19:29 |
git-cola-doc-4.10.1-r0.apk | 5.8 KiB | 2024-Dec-15 19:29 |
git-cola-4.10.1-r0.apk | 860.3 KiB | 2024-Dec-15 19:29 |
git-bug-zsh-completion-0.8.0-r14.apk | 4.0 KiB | 2024-Oct-25 19:01 |
git-bug-fish-completion-0.8.0-r14.apk | 3.9 KiB | 2024-Oct-25 19:01 |
git-bug-doc-0.8.0-r14.apk | 17.5 KiB | 2024-Oct-25 19:01 |
git-bug-bash-completion-0.8.0-r14.apk | 5.2 KiB | 2024-Oct-25 19:01 |
git-bug-0.8.0-r14.apk | 9.1 MiB | 2024-Oct-25 19:01 |
gingerbase-pyc-2.3.0-r7.apk | 61.5 KiB | 2024-Oct-25 19:01 |
gingerbase-lang-2.3.0-r7.apk | 52.9 KiB | 2024-Oct-25 19:01 |
gingerbase-2.3.0-r7.apk | 195.2 KiB | 2024-Oct-25 19:01 |
ginger-pyc-2.4.0-r7.apk | 206.8 KiB | 2024-Oct-25 19:01 |
ginger-lang-2.4.0-r7.apk | 125.4 KiB | 2024-Oct-25 19:01 |
ginger-2.4.0-r7.apk | 257.1 KiB | 2024-Oct-25 19:01 |
ginac-doc-1.8.7-r1.apk | 98.0 KiB | 2024-Oct-25 19:01 |
ginac-dev-1.8.7-r1.apk | 69.9 KiB | 2024-Oct-25 19:01 |
ginac-1.8.7-r1.apk | 1.1 MiB | 2024-Oct-25 19:01 |
gimp-plugin-gmic-3.3.5-r1.apk | 1.4 MiB | 2024-Nov-21 23:30 |
ghq-zsh-completion-1.7.1-r0.apk | 2.4 KiB | 2024-Dec-07 20:27 |
ghq-fish-completion-1.7.1-r0.apk | 2.4 KiB | 2024-Dec-07 20:27 |
ghq-doc-1.7.1-r0.apk | 5.4 KiB | 2024-Dec-07 20:27 |
ghq-bash-completion-1.7.1-r0.apk | 1.7 KiB | 2024-Dec-07 20:27 |
ghq-1.7.1-r0.apk | 3.7 MiB | 2024-Dec-07 20:27 |
ghostcloud-0.9.9.5-r2.apk | 443.4 KiB | 2024-Oct-25 19:01 |
ghidra-tutorials-11.2-r0.apk | 3.9 MiB | 2024-Oct-31 13:40 |
ghidra-doc-11.2-r0.apk | 36.0 MiB | 2024-Oct-31 13:40 |
ghidra-11.2-r0.apk | 351.7 MiB | 2024-Oct-31 13:40 |
ghc-filesystem-1.5.14-r0.apk | 38.6 KiB | 2024-Oct-25 19:01 |
gfan-0.6.2-r1.apk | 1.6 MiB | 2024-Oct-25 19:01 |
gf2x-dev-1.3.0-r1.apk | 68.2 KiB | 2024-Oct-25 19:01 |
gf2x-1.3.0-r1.apk | 39.9 KiB | 2024-Oct-25 19:01 |
getting-things-gnome-lang-0.6-r4.apk | 228.7 KiB | 2024-Dec-08 21:48 |
getting-things-gnome-doc-0.6-r4.apk | 497.4 KiB | 2024-Dec-08 21:48 |
getting-things-gnome-0.6-r4.apk | 714.9 KiB | 2024-Dec-08 21:48 |
getssl-2.48-r0.apk | 82.2 KiB | 2024-Oct-25 19:01 |
getmail6-pyc-6.19.05-r0.apk | 102.3 KiB | 2024-Oct-25 19:01 |
getmail6-doc-6.19.05-r0.apk | 138.0 KiB | 2024-Oct-25 19:01 |
getmail6-6.19.05-r0.apk | 71.2 KiB | 2024-Oct-25 19:01 |
geotagging-0.7.4-r0.apk | 439.7 KiB | 2024-Nov-12 11:18 |
geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-Oct-25 19:01 |
geonames-doc-0.3.1-r2.apk | 12.6 KiB | 2024-Oct-25 19:01 |
geonames-dev-0.3.1-r2.apk | 3.0 KiB | 2024-Oct-25 19:01 |
geonames-0.3.1-r2.apk | 826.7 KiB | 2024-Oct-25 19:01 |
geomyidae-openrc-0.34-r2.apk | 2.0 KiB | 2024-Oct-25 19:01 |
geomyidae-doc-0.34-r2.apk | 7.7 KiB | 2024-Oct-25 19:01 |
geomyidae-0.34-r2.apk | 15.1 KiB | 2024-Oct-25 19:01 |
geodns-openrc-3.3.0-r8.apk | 1.8 KiB | 2024-Oct-25 19:01 |
geodns-logs-3.3.0-r8.apk | 4.2 MiB | 2024-Oct-25 19:01 |
geodns-3.3.0-r8.apk | 4.6 MiB | 2024-Oct-25 19:01 |
genact-1.4.2-r0.apk | 1.4 MiB | 2024-Oct-25 19:01 |
gede-2.18.2-r1.apk | 260.6 KiB | 2024-Oct-25 19:01 |
gearmand-openrc-1.1.21-r1.apk | 1.8 KiB | 2024-Oct-25 19:01 |
gearmand-doc-1.1.21-r1.apk | 189.7 KiB | 2024-Oct-25 19:01 |
gearmand-1.1.21-r1.apk | 180.4 KiB | 2024-Oct-25 19:01 |
gearman-libs-1.1.21-r1.apk | 78.6 KiB | 2024-Oct-25 19:01 |
gearman-dev-1.1.21-r1.apk | 1.1 MiB | 2024-Oct-25 19:01 |
gdcm-doc-pdf-3.0.24-r0.apk | 13.6 MiB | 2024-Oct-25 19:01 |
gdcm-doc-html-3.0.24-r0.apk | 8.7 MiB | 2024-Oct-25 19:01 |
gdcm-doc-3.0.24-r0.apk | 55.4 KiB | 2024-Oct-25 19:01 |
gdcm-dev-3.0.24-r0.apk | 462.8 KiB | 2024-Oct-25 19:01 |
gdcm-3.0.24-r0.apk | 373.3 KiB | 2024-Oct-25 19:01 |
gcli-doc-2.5.0-r0.apk | 28.3 KiB | 2024-Oct-25 19:01 |
gcli-2.5.0-r0.apk | 119.0 KiB | 2024-Oct-25 19:01 |
gb-0.4.4-r26.apk | 6.6 MiB | 2024-Oct-25 19:01 |
gaupol-pyc-1.12-r2.apk | 419.2 KiB | 2024-Oct-25 19:01 |
gaupol-lang-1.12-r2.apk | 276.7 KiB | 2024-Oct-25 19:01 |
gaupol-doc-1.12-r2.apk | 2.4 KiB | 2024-Oct-25 19:01 |
gaupol-1.12-r2.apk | 276.2 KiB | 2024-Oct-25 19:01 |
gatling-openrc-0.16-r6.apk | 2.8 KiB | 2024-Oct-25 19:01 |
gatling-doc-0.16-r6.apk | 9.1 KiB | 2024-Oct-25 19:01 |
gatling-0.16-r6.apk | 142.4 KiB | 2024-Oct-25 19:01 |
gammastep-pyc-2.0.9-r3.apk | 16.9 KiB | 2024-Oct-25 19:01 |
gammastep-lang-2.0.9-r3.apk | 77.5 KiB | 2024-Oct-25 19:01 |
gammastep-doc-2.0.9-r3.apk | 14.1 KiB | 2024-Oct-25 19:01 |
gammastep-2.0.9-r3.apk | 88.7 KiB | 2024-Oct-25 19:01 |
gamja-doc-1.0.0_beta9-r0.apk | 2.2 KiB | 2024-Oct-25 19:01 |
gamja-1.0.0_beta9-r0.apk | 599.2 KiB | 2024-Oct-25 19:01 |
gamescope-3.15.15-r0.apk | 1.1 MiB | 2024-Dec-15 21:48 |
gamemode-doc-0_git20240327-r0.apk | 7.6 KiB | 2024-Oct-25 19:01 |
gamemode-dev-0_git20240327-r0.apk | 5.2 KiB | 2024-Oct-25 19:01 |
gamemode-0_git20240327-r0.apk | 71.2 KiB | 2024-Oct-25 19:01 |
game-devices-udev-0.23-r0.apk | 6.2 KiB | 2024-Nov-12 10:57 |
gambit-doc-4.9.5-r0.apk | 4.4 KiB | 2024-Oct-25 19:01 |
gambit-dev-4.9.5-r0.apk | 6.7 MiB | 2024-Oct-25 19:01 |
gambit-4.9.5-r0.apk | 10.4 MiB | 2024-Oct-25 19:01 |
fzy-doc-1.0-r3.apk | 2.7 KiB | 2024-Oct-25 19:01 |
fzy-1.0-r3.apk | 13.6 KiB | 2024-Oct-25 19:01 |
fyi-fish-completion-1.0.4-r0.apk | 2.2 KiB | 2024-Oct-25 19:01 |
fyi-doc-1.0.4-r0.apk | 7.0 KiB | 2024-Oct-25 19:01 |
fyi-bash-completion-1.0.4-r0.apk | 1.8 KiB | 2024-Oct-25 19:01 |
fyi-1.0.4-r0.apk | 9.4 KiB | 2024-Oct-25 19:01 |
fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-Oct-25 19:01 |
fxfloorboard-katana-mk2-20240515-r1.apk | 5.5 MiB | 2024-Oct-25 19:01 |
fwallet-1.2.0-r1.apk | 8.1 MiB | 2024-Dec-14 22:29 |
fuzzylite-libs-6.0-r0.apk | 332.4 KiB | 2024-Oct-25 19:01 |
fuzzylite-doc-6.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:01 |
fuzzylite-dev-6.0-r0.apk | 72.3 KiB | 2024-Oct-25 19:01 |
fuzzylite-6.0-r0.apk | 4.4 KiB | 2024-Oct-25 19:01 |
futhark-0.25.24-r0.apk | 19.4 MiB | 2024-Dec-06 22:57 |
fusesoc-pyc-2.3-r0.apk | 89.3 KiB | 2024-Oct-25 19:01 |
fusesoc-2.3-r0.apk | 46.3 KiB | 2024-Oct-25 19:01 |
fusee-nano-udev-0.5.3-r1.apk | 1.7 KiB | 2024-Oct-25 19:01 |
fusee-nano-0.5.3-r1.apk | 20.9 KiB | 2024-Oct-25 19:01 |
fulcrum-doc-1.9.8-r1.apk | 21.6 KiB | 2024-Oct-25 19:01 |
fulcrum-admin-1.9.8-r1.apk | 7.9 KiB | 2024-Oct-25 19:01 |
fulcrum-1.9.8-r1.apk | 936.9 KiB | 2024-Oct-25 19:01 |
freshrss-themes-1.23.1-r1.apk | 1.5 MiB | 2024-Oct-25 19:01 |
freshrss-sqlite-1.23.1-r1.apk | 1.5 KiB | 2024-Oct-25 19:01 |
freshrss-pgsql-1.23.1-r1.apk | 1.5 KiB | 2024-Oct-25 19:01 |
freshrss-openrc-1.23.1-r1.apk | 2.5 KiB | 2024-Oct-25 19:01 |
freshrss-mysql-1.23.1-r1.apk | 1.5 KiB | 2024-Oct-25 19:01 |
freshrss-lang-1.23.1-r1.apk | 379.3 KiB | 2024-Oct-25 19:01 |
freshrss-doc-1.23.1-r1.apk | 751.2 KiB | 2024-Oct-25 19:01 |
freshrss-1.23.1-r1.apk | 1.5 MiB | 2024-Oct-25 19:01 |
frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-Oct-25 19:01 |
frescobaldi-doc-3.3.0-r1.apk | 2.5 KiB | 2024-Oct-25 19:01 |
frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-Oct-25 19:01 |
freetube-0.21.3-r2.apk | 1.4 MiB | 2024-Oct-31 04:02 |
freediameter-libfdproto-1.5.0-r1.apk | 93.5 KiB | 2024-Oct-25 19:01 |
freediameter-libfdcore-1.5.0-r1.apk | 176.5 KiB | 2024-Oct-25 19:01 |
freediameter-extensions-1.5.0-r1.apk | 391.4 KiB | 2024-Oct-25 19:01 |
freediameter-dev-1.5.0-r1.apk | 53.8 KiB | 2024-Oct-25 19:01 |
freediameter-1.5.0-r1.apk | 9.5 KiB | 2024-Oct-25 19:01 |
freecad-doc-1.0.0-r1.apk | 12.9 KiB | 2024-Dec-18 12:39 |
freecad-dev-1.0.0-r1.apk | 666.9 KiB | 2024-Dec-18 12:39 |
freecad-1.0.0-r1.apk | 106.5 MiB | 2024-Dec-18 12:39 |
freealut-dev-1.1.0-r1.apk | 23.2 KiB | 2024-Oct-25 19:01 |
freealut-1.1.0-r1.apk | 18.0 KiB | 2024-Oct-25 19:01 |
fq-0.13.0-r0.apk | 4.4 MiB | 2024-Oct-25 19:01 |
fpp-doc-0.9.5-r0.apk | 5.6 KiB | 2024-Oct-25 19:01 |
fpp-0.9.5-r0.apk | 29.3 KiB | 2024-Oct-25 19:01 |
fplll-strategies-5.5.0-r0.apk | 1.7 MiB | 2024-Nov-17 04:04 |
fplll-static-5.5.0-r0.apk | 5.9 MiB | 2024-Nov-17 04:04 |
fplll-libs-5.5.0-r0.apk | 5.2 MiB | 2024-Nov-17 04:04 |
fplll-dev-5.5.0-r0.apk | 78.0 KiB | 2024-Nov-17 04:04 |
fplll-5.5.0-r0.apk | 48.9 KiB | 2024-Nov-17 04:04 |
fpc-stage0-3.2.2-r3.apk | 6.3 MiB | 2024-Oct-25 19:01 |
fpc-doc-3.2.2-r4.apk | 1.2 MiB | 2024-Oct-25 19:01 |
fpc-3.2.2-r4.apk | 71.0 MiB | 2024-Oct-25 19:01 |
fox-utils-1.6.57-r0.apk | 6.6 KiB | 2024-Oct-25 19:01 |
fox-shutterbug-1.6.57-r0.apk | 21.8 KiB | 2024-Oct-25 19:01 |
fox-pathfinder-1.6.57-r0.apk | 53.0 KiB | 2024-Oct-25 19:01 |
fox-doc-1.6.57-r0.apk | 2.0 MiB | 2024-Oct-25 19:01 |
fox-dev-1.6.57-r0.apk | 1.7 MiB | 2024-Oct-25 19:01 |
fox-calculator-1.6.57-r0.apk | 33.8 KiB | 2024-Oct-25 19:01 |
fox-adie-1.6.57-r0.apk | 125.1 KiB | 2024-Oct-25 19:01 |
fox-1.6.57-r0.apk | 1.0 MiB | 2024-Oct-25 19:01 |
foolsm-openrc-1.0.21-r0.apk | 1.5 KiB | 2024-Oct-25 19:01 |
foolsm-doc-1.0.21-r0.apk | 3.9 KiB | 2024-Oct-25 19:01 |
foolsm-1.0.21-r0.apk | 32.9 KiB | 2024-Oct-25 19:01 |
font-tiresias-doc-0_git20200704-r0.apk | 58.1 KiB | 2024-Oct-25 19:01 |
font-tiresias-0_git20200704-r0.apk | 568.3 KiB | 2024-Oct-25 19:01 |
font-tinos-0_git20210228-r0.apk | 198.7 KiB | 2024-Oct-25 19:01 |
font-teluguvijayam-20190525-r2.apk | 3.7 MiB | 2024-Oct-25 19:01 |
font-tamzen-1.11.5-r1.apk | 61.9 KiB | 2024-Oct-25 19:01 |
font-stix-ttf-2.13-r0.apk | 430.1 KiB | 2024-Oct-25 19:01 |
font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-Oct-25 19:01 |
font-siji-20190218_git-r2.apk | 24.5 KiB | 2024-Oct-25 19:01 |
font-monocraft-4.0-r0.apk | 676.8 KiB | 2024-Oct-25 19:01 |
font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-Oct-25 19:01 |
font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-Oct-25 19:01 |
font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-Oct-25 19:01 |
font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-Oct-25 19:01 |
font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-Oct-25 19:01 |
font-monaspace-1.101-r0.apk | 1.5 KiB | 2024-Oct-25 19:01 |
font-material-icons-4.0.0-r0.apk | 651.9 KiB | 2024-Oct-25 19:01 |
font-katex-0.16.2-r0.apk | 851.6 KiB | 2024-Oct-25 19:01 |
font-intel-one-mono-1.3.0-r0.apk | 281.4 KiB | 2024-Oct-25 19:01 |
font-hanazono-20170904-r1.apk | 28.9 MiB | 2024-Oct-25 19:01 |
font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2024-Oct-25 19:01 |
font-firamath-0.3.4-r0.apk | 118.3 KiB | 2024-Oct-25 19:01 |
font-fira-ttf-4.202-r0.apk | 6.2 MiB | 2024-Oct-25 19:01 |
font-fira-otf-4.202-r0.apk | 7.4 MiB | 2024-Oct-25 19:01 |
font-fira-code-vf-6.2-r0.apk | 145.1 KiB | 2024-Oct-25 19:01 |
font-fira-code-6.2-r0.apk | 836.0 KiB | 2024-Oct-25 19:01 |
font-fira-4.202-r0.apk | 1.2 KiB | 2024-Oct-25 19:01 |
font-fantasque-sans-normal-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 19:01 |
font-fantasque-sans-noloopk-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 19:01 |
font-fantasque-sans-largelineheightnoloopk-1.8...> | 315.8 KiB | 2024-Oct-25 19:01 |
font-fantasque-sans-largelineheight-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 19:01 |
font-fantasque-sans-doc-1.8.0-r0.apk | 5.5 KiB | 2024-Oct-25 19:01 |
font-fantasque-sans-1.8.0-r0.apk | 1.2 KiB | 2024-Oct-25 19:01 |
font-cousine-0_git20210228-r0.apk | 110.0 KiB | 2024-Oct-25 19:01 |
font-commit-mono-1.143-r0.apk | 251.3 KiB | 2024-Oct-25 19:01 |
font-comic-neue-doc-2.51-r0.apk | 1004.1 KiB | 2024-Oct-25 19:01 |
font-comic-neue-2.51-r0.apk | 248.8 KiB | 2024-Oct-25 19:01 |
font-chivo-mono-0_git20221110-r0.apk | 625.9 KiB | 2024-Oct-25 19:01 |
font-chivo-0_git20221110-r0.apk | 792.4 KiB | 2024-Oct-25 19:01 |
font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-Oct-25 19:01 |
font-anonymous-pro-1.002-r2.apk | 264.5 KiB | 2024-Oct-25 19:01 |
foma-dev-0.10.0_git20240712-r0.apk | 8.5 KiB | 2024-Oct-25 19:01 |
foma-0.10.0_git20240712-r0.apk | 331.1 KiB | 2024-Oct-25 19:01 |
fnm-zsh-completion-1.38.1-r0.apk | 4.3 KiB | 2024-Nov-25 14:28 |
fnm-fish-completion-1.38.1-r0.apk | 4.3 KiB | 2024-Nov-25 14:28 |
fnm-bash-completion-1.38.1-r0.apk | 2.8 KiB | 2024-Nov-25 14:28 |
fnm-1.38.1-r0.apk | 1.9 MiB | 2024-Nov-25 14:28 |
fnf-doc-0.1-r0.apk | 4.6 KiB | 2024-Oct-25 19:01 |
fnf-0.1-r0.apk | 16.1 KiB | 2024-Oct-25 19:01 |
fna3d-dev-24.12-r0.apk | 13.8 KiB | 2024-Dec-05 17:32 |
fna3d-24.12-r0.apk | 184.5 KiB | 2024-Dec-05 17:32 |
flutter-tool-developer-3.27.0-r0.apk | 1.6 KiB | 2024-Dec-14 22:29 |
flutter-tool-3.27.0-r0.apk | 15.1 MiB | 2024-Dec-14 22:29 |
flutter-gtk-3.27.0-r0.apk | 5.0 MiB | 2024-Dec-14 22:29 |
flutter-glfw-3.27.0-r0.apk | 5.0 MiB | 2024-Dec-14 22:29 |
flutter-developer-3.27.0-r0.apk | 2.2 MiB | 2024-Dec-14 22:29 |
flutter-desktop-3.27.0-r0.apk | 26.0 MiB | 2024-Dec-14 22:29 |
flutter-common-3.27.0-r0.apk | 39.7 MiB | 2024-Dec-14 22:29 |
flutter-3.27.0-r0.apk | 1.2 KiB | 2024-Dec-14 22:29 |
fluent-bit-openrc-3.1.10-r0.apk | 1.6 KiB | 2024-Nov-10 12:15 |
fluent-bit-dev-3.1.10-r0.apk | 114.8 KiB | 2024-Nov-10 12:15 |
fluent-bit-3.1.10-r0.apk | 5.7 MiB | 2024-Nov-10 12:15 |
flowd-openrc-0.9.1-r10.apk | 1.9 KiB | 2024-Oct-25 19:01 |
flowd-doc-0.9.1-r10.apk | 10.1 KiB | 2024-Oct-25 19:01 |
flowd-dev-0.9.1-r10.apk | 8.3 KiB | 2024-Oct-25 19:01 |
flowd-0.9.1-r10.apk | 77.7 KiB | 2024-Oct-25 19:01 |
flintqs-1.0-r1.apk | 20.3 KiB | 2024-Oct-25 19:01 |
flint-dev-2.9.0-r2.apk | 311.3 KiB | 2024-Oct-25 19:01 |
flint-2.9.0-r2.apk | 5.2 MiB | 2024-Oct-25 19:01 |
flightgear-zsh-completion-2020.3.19-r1.apk | 5.4 KiB | 2024-Oct-25 19:01 |
flightgear-doc-2020.3.19-r1.apk | 60.8 KiB | 2024-Oct-25 19:01 |
flightgear-dbg-2020.3.19-r1.apk | 19.9 MiB | 2024-Oct-25 19:01 |
flightgear-bash-completion-2020.3.19-r1.apk | 4.2 KiB | 2024-Oct-25 19:01 |
flightgear-2020.3.19-r1.apk | 10.1 MiB | 2024-Oct-25 19:01 |
flawz-zsh-completion-0.3.0-r0.apk | 2.2 KiB | 2024-Nov-03 21:07 |
flawz-fish-completion-0.3.0-r0.apk | 1.9 KiB | 2024-Nov-03 21:07 |
flawz-doc-0.3.0-r0.apk | 6.0 KiB | 2024-Nov-03 21:07 |
flawz-bash-completion-0.3.0-r0.apk | 2.1 KiB | 2024-Nov-03 21:07 |
flawz-0.3.0-r0.apk | 1.2 MiB | 2024-Nov-03 21:07 |
flauschige-uhr-0.1-r1.apk | 4.2 KiB | 2024-Oct-25 19:01 |
flatpak-xdg-utils-1.0.6-r0.apk | 18.8 KiB | 2024-Oct-25 19:01 |
flashprog-libs-1.3-r0.apk | 200.0 KiB | 2024-Nov-12 16:00 |
flashprog-doc-1.3-r0.apk | 26.1 KiB | 2024-Nov-12 16:00 |
flashprog-dev-1.3-r0.apk | 331.7 KiB | 2024-Nov-12 16:00 |
flashprog-1.3-r0.apk | 210.1 KiB | 2024-Nov-12 16:00 |
flare-game-1.14-r0.apk | 2.2 KiB | 2024-Oct-25 19:01 |
flare-engine-doc-1.14-r0.apk | 2.5 KiB | 2024-Oct-25 19:01 |
flare-engine-1.14-r0.apk | 4.4 MiB | 2024-Oct-25 19:01 |
flannel-openrc-0.26.1-r0.apk | 1.9 KiB | 2024-Nov-18 19:14 |
flannel-contrib-cni-0.26.1-r0.apk | 4.2 KiB | 2024-Nov-18 19:14 |
flannel-0.26.1-r0.apk | 13.2 MiB | 2024-Nov-18 19:14 |
flann-doc-1.9.2-r0.apk | 2.6 KiB | 2024-Oct-25 19:01 |
flann-dev-1.9.2-r0.apk | 962.4 KiB | 2024-Oct-25 19:01 |
flann-1.9.2-r0.apk | 1.7 MiB | 2024-Oct-25 19:01 |
flamegraph-1.0_git20220918-r1.apk | 37.7 KiB | 2024-Oct-25 19:01 |
firehol-openrc-3.1.7-r2.apk | 2.1 KiB | 2024-Oct-25 19:01 |
firehol-doc-3.1.7-r2.apk | 674.6 KiB | 2024-Oct-25 19:01 |
firehol-3.1.7-r2.apk | 84.8 KiB | 2024-Oct-25 19:01 |
firefox-developer-edition-134.0_beta10-r0.apk | 80.7 MiB | 2024-Dec-19 23:34 |
firectl-0.2.0-r14.apk | 3.3 MiB | 2024-Oct-25 19:00 |
firecracker-seccompiler-1.9.0-r0.apk | 246.0 KiB | 2024-Oct-25 19:00 |
firecracker-rebase-snap-1.9.0-r0.apk | 167.7 KiB | 2024-Oct-25 19:00 |
firecracker-doc-1.9.0-r0.apk | 1.6 MiB | 2024-Oct-25 19:00 |
firecracker-1.9.0-r0.apk | 1.3 MiB | 2024-Oct-25 19:00 |
finger-doc-0.5-r0.apk | 3.8 KiB | 2024-Oct-25 19:00 |
finger-0.5-r0.apk | 6.4 KiB | 2024-Oct-25 19:00 |
findtow-0.1-r0.apk | 4.8 KiB | 2024-Oct-25 19:00 |
filite-0.3.0-r2.apk | 1.1 MiB | 2024-Oct-25 19:00 |
fileshelter-openrc-6.2.0-r2.apk | 1.6 KiB | 2024-Dec-07 00:23 |
fileshelter-6.2.0-r2.apk | 306.2 KiB | 2024-Dec-07 00:23 |
filebrowser-openrc-2.27.0-r6.apk | 1.8 KiB | 2024-Oct-25 19:00 |
filebrowser-2.27.0-r6.apk | 7.2 MiB | 2024-Oct-25 19:00 |
fildesh-vim-0.2.0-r0.apk | 3.5 KiB | 2024-Oct-25 19:00 |
fildesh-doc-0.2.0-r0.apk | 2.1 KiB | 2024-Oct-25 19:00 |
fildesh-0.2.0-r0.apk | 67.0 KiB | 2024-Oct-25 19:00 |
fiery-lang-2.0.0-r0.apk | 53.6 KiB | 2024-Oct-25 19:00 |
fiery-2.0.0-r0.apk | 269.4 KiB | 2024-Oct-25 19:00 |
fheroes2-lang-1.1.4-r0.apk | 1.7 MiB | 2024-Dec-03 01:38 |
fheroes2-1.1.4-r0.apk | 1.6 MiB | 2024-Dec-03 01:38 |
ffsend-zsh-completion-0.2.76-r4.apk | 4.6 KiB | 2024-Oct-25 19:00 |
ffsend-fish-completion-0.2.76-r4.apk | 3.6 KiB | 2024-Oct-25 19:00 |
ffsend-bash-completion-0.2.76-r4.apk | 3.6 KiB | 2024-Oct-25 19:00 |
ffsend-0.2.76-r4.apk | 1.5 MiB | 2024-Oct-25 19:00 |
ffms2-doc-5.0-r0.apk | 30.3 KiB | 2024-Oct-25 19:00 |
ffms2-dev-5.0-r0.apk | 7.6 KiB | 2024-Oct-25 19:00 |
ffms2-5.0-r0.apk | 70.4 KiB | 2024-Oct-25 19:00 |
fflas-ffpack-2.5.0-r3.apk | 345.4 KiB | 2024-Oct-25 19:00 |
fff-doc-2.2-r0.apk | 9.0 KiB | 2024-Oct-25 19:00 |
fff-2.2-r0.apk | 10.7 KiB | 2024-Oct-25 19:00 |
felix-2.14.0-r1.apk | 617.2 KiB | 2024-Nov-22 19:05 |
featherpad-lang-1.5.1-r0.apk | 462.8 KiB | 2024-Oct-25 19:00 |
featherpad-1.5.1-r0.apk | 709.1 KiB | 2024-Oct-25 19:00 |
fdm-materials-5.2.2-r1.apk | 59.7 KiB | 2024-Oct-25 19:00 |
fceux-doc-2.6.6-r2.apk | 105.8 KiB | 2024-Oct-25 19:00 |
fceux-2.6.6-r2.apk | 2.9 MiB | 2024-Oct-25 19:00 |
fbvnc-0_git20220812-r0.apk | 9.4 KiB | 2024-Oct-25 19:00 |
fbp-0.5-r1.apk | 136.9 KiB | 2024-Oct-25 19:00 |
fbcur-doc-1.0.1-r1.apk | 2.2 KiB | 2024-Oct-25 19:00 |
fbcur-1.0.1-r1.apk | 4.5 KiB | 2024-Oct-25 19:00 |
fava-pyc-1.28-r0.apk | 164.1 KiB | 2024-Oct-25 19:00 |
fava-1.28-r0.apk | 1.1 MiB | 2024-Oct-25 19:00 |
faust-vim-2.60.3-r2.apk | 2.6 KiB | 2024-Oct-25 19:00 |
faust-tools-2.60.3-r2.apk | 118.4 KiB | 2024-Oct-25 19:00 |
faust-static-2.60.3-r2.apk | 522.1 KiB | 2024-Oct-25 19:00 |
faust-doc-2.60.3-r2.apk | 16.7 MiB | 2024-Oct-25 19:00 |
faust-dev-2.60.3-r2.apk | 770.5 KiB | 2024-Oct-25 19:00 |
faust-2.60.3-r2.apk | 8.2 MiB | 2024-Oct-25 19:00 |
faultstat-doc-0.01.11-r0.apk | 3.0 KiB | 2024-Oct-25 19:00 |
faultstat-bash-completion-0.01.11-r0.apk | 2.3 KiB | 2024-Oct-25 19:00 |
faultstat-0.01.11-r0.apk | 12.4 KiB | 2024-Oct-25 19:00 |
fatresize-doc-1.1.0-r1.apk | 15.2 KiB | 2024-Oct-25 19:00 |
fatresize-1.1.0-r1.apk | 8.7 KiB | 2024-Oct-25 19:00 |
fatrace-doc-0.17.0-r0.apk | 3.3 KiB | 2024-Oct-25 19:00 |
fatrace-0.17.0-r0.apk | 10.1 KiB | 2024-Oct-25 19:00 |
fathom-1.3.1-r8.apk | 4.6 MiB | 2024-Oct-25 19:00 |
fatback-doc-1.3-r2.apk | 16.1 KiB | 2024-Oct-25 19:00 |
fatback-1.3-r2.apk | 28.2 KiB | 2024-Oct-25 19:00 |
fastd-openrc-22-r3.apk | 1.8 KiB | 2024-Oct-25 19:00 |
fastd-doc-22-r3.apk | 3.3 KiB | 2024-Oct-25 19:00 |
fastd-22-r3.apk | 73.0 KiB | 2024-Oct-25 19:00 |
fast_float-5.2.0-r1.apk | 42.8 KiB | 2024-Oct-25 19:00 |
fakeroot-tcp-1.32.1-r1.apk | 27.9 KiB | 2024-Oct-25 19:00 |
fabric-pyc-3.2.2-r1.apk | 60.1 KiB | 2024-Oct-25 19:00 |
fabric-3.2.2-r1.apk | 54.6 KiB | 2024-Oct-25 19:00 |
f_scripts-f_youtube-0.6-r1.apk | 2.8 KiB | 2024-Oct-25 19:00 |
f_scripts-f_web-0.6-r1.apk | 2.9 KiB | 2024-Oct-25 19:00 |
f_scripts-f_timer-0.6-r1.apk | 2.4 KiB | 2024-Oct-25 19:00 |
f_scripts-f_theme-0.6-r1.apk | 2.6 KiB | 2024-Oct-25 19:00 |
f_scripts-f_rss-0.6-r1.apk | 2.5 KiB | 2024-Oct-25 19:00 |
f_scripts-f_phone-0.6-r1.apk | 6.0 KiB | 2024-Oct-25 19:00 |
f_scripts-f_networks-0.6-r1.apk | 3.0 KiB | 2024-Oct-25 19:00 |
f_scripts-f_maps-0.6-r1.apk | 2.1 KiB | 2024-Oct-25 19:00 |
f_scripts-f_game-0.6-r1.apk | 1.9 KiB | 2024-Oct-25 19:00 |
f_scripts-f_files-0.6-r1.apk | 2.9 KiB | 2024-Oct-25 19:00 |
f_scripts-f_audio-0.6-r1.apk | 3.3 KiB | 2024-Oct-25 19:00 |
f_scripts-0.6-r1.apk | 1.4 KiB | 2024-Oct-25 19:00 |
extundelete-0.2.4-r1.apk | 39.2 KiB | 2024-Oct-25 19:00 |
extremetuxracer-doc-0.8.3-r0.apk | 6.7 KiB | 2024-Oct-25 19:00 |
extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2024-Oct-25 19:00 |
extrace-doc-0.9-r0.apk | 3.5 KiB | 2024-Oct-25 19:00 |
extrace-0.9-r0.apk | 9.4 KiB | 2024-Oct-25 19:00 |
exercism-zsh-completion-3.2.0-r7.apk | 2.1 KiB | 2024-Oct-25 19:00 |
exercism-fish-completion-3.2.0-r7.apk | 2.4 KiB | 2024-Oct-25 19:00 |
exercism-bash-completion-3.2.0-r7.apk | 2.0 KiB | 2024-Oct-25 19:00 |
exercism-3.2.0-r7.apk | 3.9 MiB | 2024-Oct-25 19:00 |
exabgp-pyc-4.2.22-r0.apk | 891.7 KiB | 2024-Nov-30 10:48 |
exabgp-openrc-4.2.22-r0.apk | 2.2 KiB | 2024-Nov-30 10:48 |
exabgp-doc-4.2.22-r0.apk | 8.0 KiB | 2024-Nov-30 10:48 |
exabgp-4.2.22-r0.apk | 437.4 KiB | 2024-Nov-30 10:48 |
eww-dbg-0.4.0-r1.apk | 650.9 KiB | 2024-Oct-25 19:00 |
eww-0.4.0-r1.apk | 1.5 MiB | 2024-Oct-25 19:00 |
evolution-on-3.24.4-r0.apk | 9.9 KiB | 2024-Oct-30 14:24 |
eva-0.3.1-r2.apk | 631.6 KiB | 2024-Oct-25 19:00 |
ettercap-doc-0.8.3.1-r3.apk | 45.1 KiB | 2024-Oct-25 19:00 |
ettercap-0.8.3.1-r3.apk | 556.1 KiB | 2024-Oct-25 19:00 |
esptool-pyc-4.8.1-r0.apk | 549.3 KiB | 2024-Oct-25 19:00 |
esptool-4.8.1-r0.apk | 424.0 KiB | 2024-Oct-25 19:00 |
espeakup-openrc-0.90-r2.apk | 1.8 KiB | 2024-Oct-25 19:00 |
espeakup-0.90-r2.apk | 10.8 KiB | 2024-Oct-25 19:00 |
ergo-ldap-doc-0.0.1-r12.apk | 2.3 KiB | 2024-Oct-25 19:00 |
ergo-ldap-0.0.1-r12.apk | 2.0 MiB | 2024-Oct-25 19:00 |
epr-pyc-2.4.15-r1.apk | 24.4 KiB | 2024-Oct-25 19:00 |
epr-2.4.15-r1.apk | 15.7 KiB | 2024-Oct-25 19:00 |
epoch-1.3.0-r2.apk | 55.3 KiB | 2024-Oct-25 19:00 |
envsubst-0.1-r1.apk | 4.7 KiB | 2024-Oct-25 19:00 |
envconsul-0.13.2-r8.apk | 4.6 MiB | 2024-Oct-25 19:00 |
enlighten-doc-0.9.2-r1.apk | 3.5 KiB | 2024-Oct-25 19:00 |
enlighten-0.9.2-r1.apk | 7.0 KiB | 2024-Oct-25 19:00 |
enjoy-0.3-r1.apk | 11.9 KiB | 2024-Oct-25 19:00 |
endlessh-doc-1.1-r0.apk | 2.4 KiB | 2024-Oct-25 19:00 |
endlessh-1.1-r0.apk | 8.8 KiB | 2024-Oct-25 19:00 |
endless-sky-doc-0.10.2-r0.apk | 36.5 KiB | 2024-Oct-25 19:00 |
endless-sky-0.10.2-r0.apk | 242.3 MiB | 2024-Oct-25 19:00 |
endeavour-lang-43.0-r2.apk | 203.1 KiB | 2024-Dec-08 21:48 |
endeavour-doc-43.0-r2.apk | 68.1 KiB | 2024-Dec-08 21:48 |
endeavour-dev-43.0-r2.apk | 45.6 KiB | 2024-Dec-08 21:48 |
endeavour-43.0-r2.apk | 190.7 KiB | 2024-Dec-08 21:48 |
emulationstation-theme-gbz35-2.11.2-r1.apk | 3.3 MiB | 2024-Oct-25 19:00 |
emulationstation-2.11.2-r1.apk | 1.3 MiB | 2024-Oct-25 19:00 |
empede-openrc-0.2.3-r0.apk | 1.9 KiB | 2024-Oct-25 19:00 |
empede-doc-0.2.3-r0.apk | 2.3 KiB | 2024-Oct-25 19:00 |
empede-0.2.3-r0.apk | 1.6 MiB | 2024-Oct-25 19:00 |
emacs-taxy-magit-section-0.13-r0.apk | 17.3 KiB | 2024-Oct-25 19:00 |
emacs-taxy-0.10.1-r0.apk | 11.5 KiB | 2024-Oct-25 19:00 |
emacs-svg-lib-0_git20240219-r0.apk | 19.0 KiB | 2024-Oct-25 19:00 |
emacs-sqlite3-api-0.18-r0.apk | 17.0 KiB | 2024-Oct-25 19:00 |
emacs-powerline-2.4_git20221110-r0.apk | 28.9 KiB | 2024-Oct-25 19:00 |
emacs-persist-0.6_git20240114-r0.apk | 6.6 KiB | 2024-Oct-25 19:00 |
emacs-llama-0.3.1_git20240722-r0.apk | 9.9 KiB | 2024-Oct-25 19:00 |
emacs-hydra-0.15.0_git20220910-r0.apk | 46.0 KiB | 2024-Oct-25 19:00 |
emacs-hnreader-0_git20221116-r0.apk | 9.8 KiB | 2024-Oct-25 19:00 |
emacs-helm-3.9.7_git20240329-r0.apk | 815.2 KiB | 2024-Oct-25 19:00 |
emacs-hackernews-0.7.0-r0.apk | 15.5 KiB | 2024-Oct-25 19:00 |
emacs-gnosis-0.3.2-r0.apk | 62.1 KiB | 2024-Oct-25 19:00 |
emacs-fossil-0_git20230504-r0.apk | 14.6 KiB | 2024-Oct-25 19:00 |
emacs-epkg-3.3.3_git20240713-r0.apk | 36.8 KiB | 2024-Oct-25 19:00 |
emacs-ement-0.15.1-r0.apk | 287.3 KiB | 2024-Oct-25 19:00 |
emacs-embark-consult-1.0_git20240327-r0.apk | 10.4 KiB | 2024-Oct-25 19:00 |
emacs-embark-1.0_git20240327-r0.apk | 109.7 KiB | 2024-Oct-25 19:00 |
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> | 4.3 KiB | 2024-Oct-25 19:00 |
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17.3 KiB | 2024-Oct-25 19:00 |
emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5.9 KiB | 2024-Oct-25 19:00 |
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6.1 KiB | 2024-Oct-25 19:00 |
emacs-emacsql-3.1.1_git20240714-r0.apk | 22.6 KiB | 2024-Oct-25 19:00 |
emacs-elfeed-3.4.1_git20240326-r0.apk | 91.3 KiB | 2024-Oct-25 19:00 |
emacs-derl-0_git20231004-r0.apk | 23.5 KiB | 2024-Oct-25 19:00 |
emacs-consult-1.4_git20240405-r0.apk | 137.8 KiB | 2024-Oct-25 19:00 |
emacs-closql-1.2.1_git20240712-r0.apk | 14.4 KiB | 2024-Oct-25 19:00 |
emacs-centaur-tabs-3.2_git20230601-r0.apk | 55.1 KiB | 2024-Oct-25 19:00 |
emacs-avy-embark-collect-1.0_git20240327-r0.apk | 3.9 KiB | 2024-Oct-25 19:00 |
emacs-avy-0.5.0_git20230420-r0.apk | 43.4 KiB | 2024-Oct-25 19:00 |
emacs-ace-window-0.10.0_git20220911-r0.apk | 22.8 KiB | 2024-Oct-25 19:00 |
eludris-doc-0.3.3-r1.apk | 2.3 KiB | 2024-Oct-25 19:00 |
eludris-0.3.3-r1.apk | 1.9 MiB | 2024-Oct-25 19:00 |
elfio-dev-3.12-r0.apk | 55.0 KiB | 2024-Oct-25 19:00 |
elfio-3.12-r0.apk | 1.4 KiB | 2024-Oct-25 19:00 |
elf_diff-pyc-0.7.1-r3.apk | 108.0 KiB | 2024-Oct-25 19:00 |
elf_diff-0.7.1-r3.apk | 108.3 KiB | 2024-Oct-25 19:00 |
elementary-videos-lang-8.0.1-r0.apk | 85.5 KiB | 2024-Nov-10 22:06 |
elementary-videos-8.0.1-r0.apk | 109.8 KiB | 2024-Nov-10 22:06 |
elementary-sound-theme-1.1.0-r0.apk | 83.1 KiB | 2024-Nov-10 22:08 |
elementary-photos-lang-8.0.1-r0.apk | 1.0 MiB | 2024-Dec-02 23:40 |
elementary-photos-8.0.1-r0.apk | 1.2 MiB | 2024-Dec-02 23:40 |
elementary-music-lang-8.0.0-r0.apk | 47.5 KiB | 2024-Oct-28 22:06 |
elementary-music-8.0.0-r0.apk | 72.8 KiB | 2024-Oct-28 22:06 |
elementary-icon-theme-8.0.0-r0.apk | 5.0 MiB | 2024-Oct-25 19:00 |
elementary-feedback-lang-8.0.0-r0.apk | 43.5 KiB | 2024-Oct-27 14:17 |
elementary-feedback-8.0.0-r0.apk | 42.9 KiB | 2024-Oct-27 14:17 |
elementary-camera-lang-8.0.0-r0.apk | 33.6 KiB | 2024-Oct-27 14:20 |
elementary-camera-8.0.0-r0.apk | 84.0 KiB | 2024-Oct-27 14:20 |
elementary-calculator-lang-8.0.0-r0.apk | 57.3 KiB | 2024-Oct-28 22:05 |
elementary-calculator-8.0.0-r0.apk | 70.7 KiB | 2024-Oct-28 22:05 |
element-desktop-1.11.89-r0.apk | 22.2 MiB | 2024-Dec-20 05:46 |
electron-tasje-0.7.3-r0.apk | 1.2 MiB | 2024-Oct-25 19:00 |
electron-lang-33.3.0-r0.apk | 10.0 MiB | 2024-Dec-08 04:30 |
electron-dev-33.3.0-r0.apk | 330.0 KiB | 2024-Dec-08 04:30 |
electron-33.3.0-r0.apk | 83.6 MiB | 2024-Dec-08 04:30 |
eiwd-openrc-2.22-r0.apk | 1.8 KiB | 2024-Oct-25 19:00 |
eiwd-doc-2.22-r0.apk | 19.8 KiB | 2024-Oct-25 19:00 |
eiwd-2.22-r0.apk | 830.6 KiB | 2024-Oct-25 19:00 |
efl-gdb-1.27.0-r1.apk | 1.8 KiB | 2024-Oct-25 19:00 |
efl-dev-1.27.0-r1.apk | 1.9 MiB | 2024-Oct-25 19:00 |
efl-1.27.0-r1.apk | 33.8 MiB | 2024-Oct-25 19:00 |
efibootguard-zsh-completion-0.16-r0.apk | 2.9 KiB | 2024-Oct-25 19:00 |
efibootguard-dev-0.16-r0.apk | 21.7 KiB | 2024-Oct-25 19:00 |
efibootguard-bash-completion-0.16-r0.apk | 3.6 KiB | 2024-Oct-25 19:00 |
efibootguard-0.16-r0.apk | 103.5 KiB | 2024-Oct-25 19:00 |
edward-doc-1.1.0-r0.apk | 5.3 KiB | 2024-Oct-25 19:00 |
edward-1.1.0-r0.apk | 2.0 MiB | 2024-Oct-25 19:00 |
ecos-dev-2.0.10-r0.apk | 27.9 KiB | 2024-Oct-25 19:00 |
ecos-2.0.10-r0.apk | 39.4 KiB | 2024-Oct-25 19:00 |
ecm-doc-7.0.5-r1.apk | 7.2 KiB | 2024-Oct-25 19:00 |
ecm-dev-7.0.5-r1.apk | 4.9 KiB | 2024-Oct-25 19:00 |
ecm-7.0.5-r1.apk | 128.5 KiB | 2024-Oct-25 19:00 |
eclipse-ecj-4.33-r0.apk | 2.5 MiB | 2024-Nov-22 21:43 |
eclib-static-20240408-r1.apk | 18.5 MiB | 2024-Oct-25 19:00 |
eclib-libs-20240408-r1.apk | 1.1 MiB | 2024-Oct-25 19:00 |
eclib-doc-20240408-r1.apk | 28.1 KiB | 2024-Oct-25 19:00 |
eclib-dev-20240408-r1.apk | 98.4 KiB | 2024-Oct-25 19:00 |
eclib-20240408-r1.apk | 328.3 KiB | 2024-Oct-25 19:00 |
eccodes-2.32.1-r0.apk | 11.0 MiB | 2024-Oct-25 19:00 |
ecasound-doc-2.9.3-r3.apk | 38.6 KiB | 2024-Oct-25 19:00 |
ecasound-dev-2.9.3-r3.apk | 1.1 MiB | 2024-Oct-25 19:00 |
ecasound-2.9.3-r3.apk | 675.2 KiB | 2024-Oct-25 19:00 |
eboard-doc-1.1.3-r1.apk | 4.7 KiB | 2024-Oct-25 19:00 |
eboard-1.1.3-r1.apk | 1.4 MiB | 2024-Oct-25 19:00 |
eatmemory-0.1.6-r2.apk | 4.3 KiB | 2024-Oct-25 19:00 |
e16-lang-1.0.30-r0.apk | 379.6 KiB | 2024-Nov-05 13:22 |
e16-doc-1.0.30-r0.apk | 27.2 KiB | 2024-Nov-05 13:22 |
e16-1.0.30-r0.apk | 783.1 KiB | 2024-Nov-05 13:22 |
dwl-doc-0.7-r0.apk | 3.1 KiB | 2024-Oct-25 19:00 |
dwl-0.7-r0.apk | 26.9 KiB | 2024-Oct-25 19:00 |
dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2024-Oct-25 19:00 |
dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2024-Oct-25 19:00 |
dvdbackup-0.4.2-r1.apk | 15.8 KiB | 2024-Oct-25 19:00 |
dustracing2d-2.1.1-r1.apk | 5.1 MiB | 2024-Oct-25 19:00 |
dune-deps-1.3.0-r2.apk | 797.6 KiB | 2024-Oct-25 19:00 |
dumb_runtime_dir-1.0.4-r3.apk | 3.7 KiB | 2024-Oct-25 19:00 |
dum-0.1.19-r1.apk | 226.5 KiB | 2024-Oct-25 19:00 |
dulcepan-1.0.2-r0.apk | 19.9 KiB | 2024-Oct-25 19:00 |
duf-0.8.1-r21.apk | 1.0 MiB | 2024-Oct-25 19:00 |
duc-doc-1.4.5-r0.apk | 9.1 KiB | 2024-Oct-25 19:00 |
duc-1.4.5-r0.apk | 83.7 KiB | 2024-Oct-25 19:00 |
dublin-traceroute-doc-0.4.2-r4.apk | 2.3 KiB | 2024-Oct-25 19:00 |
dublin-traceroute-dev-0.4.2-r4.apk | 6.9 KiB | 2024-Oct-25 19:00 |
dublin-traceroute-contrib-0.4.2-r4.apk | 2.9 KiB | 2024-Oct-25 19:00 |
dublin-traceroute-0.4.2-r4.apk | 45.0 KiB | 2024-Oct-25 19:00 |
dstask-zsh-completion-0.26-r9.apk | 1.7 KiB | 2024-Oct-25 19:00 |
dstask-import-0.26-r9.apk | 3.2 MiB | 2024-Oct-25 19:00 |
dstask-bash-completion-0.26-r9.apk | 2.1 KiB | 2024-Oct-25 19:00 |
dstask-0.26-r9.apk | 1.3 MiB | 2024-Oct-25 19:00 |
dsp-doc-1.9-r2.apk | 7.2 KiB | 2024-Oct-25 19:00 |
dsp-1.9-r2.apk | 95.8 KiB | 2024-Oct-25 19:00 |
dsnet-doc-0.7.3-r5.apk | 9.3 KiB | 2024-Oct-25 19:00 |
dsnet-0.7.3-r5.apk | 3.7 MiB | 2024-Oct-25 19:00 |
drupal7-doc-7.103-r0.apk | 57.5 KiB | 2024-Dec-04 17:27 |
drupal7-7.103-r0.apk | 3.3 MiB | 2024-Dec-04 17:27 |
drumgizmo-0.9.20-r1.apk | 394.5 KiB | 2024-Oct-25 19:00 |
dropwatch-doc-1.5.4-r6.apk | 3.7 KiB | 2024-Oct-25 19:00 |
dropwatch-1.5.4-r6.apk | 14.9 KiB | 2024-Oct-25 19:00 |
drone-cli-1.8.0-r5.apk | 5.7 MiB | 2024-Oct-25 19:00 |
droidcam-gui-2.1.3-r1.apk | 26.9 KiB | 2024-Nov-09 01:40 |
droidcam-2.1.3-r1.apk | 17.9 KiB | 2024-Nov-09 01:40 |
drogon-doc-1.9.4-r1.apk | 2.3 KiB | 2024-Oct-25 19:00 |
drogon-dev-1.9.4-r1.apk | 124.6 KiB | 2024-Oct-25 19:00 |
drogon-1.9.4-r1.apk | 1.5 MiB | 2024-Oct-25 19:00 |
drawpile-tools-2.2.1-r1.apk | 3.4 MiB | 2024-Oct-25 19:00 |
drawpile-server-openrc-2.2.1-r1.apk | 1.8 KiB | 2024-Oct-25 19:00 |
drawpile-server-2.2.1-r1.apk | 1.5 MiB | 2024-Oct-25 19:00 |
drawpile-doc-2.2.1-r1.apk | 72.2 KiB | 2024-Oct-25 19:00 |
drawpile-client-2.2.1-r1.apk | 3.2 MiB | 2024-Oct-25 19:00 |
drawpile-base-2.2.1-r1.apk | 2.3 MiB | 2024-Oct-25 19:00 |
drawpile-2.2.1-r1.apk | 1.4 KiB | 2024-Oct-25 19:00 |
drawing-lang-1.0.2-r0.apk | 272.0 KiB | 2024-Oct-25 19:00 |
drawing-1.0.2-r0.apk | 3.2 MiB | 2024-Oct-25 19:00 |
draw-0.1.1-r8.apk | 947.7 KiB | 2024-Oct-25 19:00 |
draco-tools-1.5.7-r1.apk | 1.2 MiB | 2024-Oct-25 19:00 |
draco-static-1.5.7-r1.apk | 1.4 MiB | 2024-Oct-25 19:00 |
draco-dev-1.5.7-r1.apk | 213.0 KiB | 2024-Oct-25 19:00 |
draco-1.5.7-r1.apk | 817.0 KiB | 2024-Oct-25 19:00 |
downloader-cli-0.3.4-r1.apk | 2.0 KiB | 2024-Oct-25 19:00 |
dovi-tool-2.1.2-r0.apk | 1.2 MiB | 2024-Oct-25 19:00 |
dotnet6-templates-6.0.136-r1.apk | 5.9 MiB | 2024-Nov-25 04:50 |
dotnet6-targeting-pack-6.0.36-r1.apk | 3.1 MiB | 2024-Nov-25 04:50 |
dotnet6-stage0-bootstrap-6.0.116-r4.apk | 408.8 MiB | 2024-Oct-25 19:00 |
dotnet6-stage0-artifacts-6.0.116-r4.apk | 977.9 MiB | 2024-Oct-25 19:00 |
dotnet6-stage0-6.0.116-r4.apk | 1.2 KiB | 2024-Oct-25 19:00 |
dotnet6-sdk-6.0.136-r1.apk | 103.2 MiB | 2024-Nov-25 04:50 |
dotnet6-runtime-6.0.36-r1.apk | 27.7 MiB | 2024-Nov-25 04:50 |
dotnet6-hostfxr-6.0.36-r1.apk | 159.0 KiB | 2024-Nov-25 04:50 |
dotnet6-build-6.0.136-r1.apk | 1.2 GiB | 2024-Nov-25 04:50 |
dotnet6-artifacts-6.0.136-r1.apk | 442.0 MiB | 2024-Nov-25 04:50 |
dotnet6-apphost-pack-6.0.36-r1.apk | 4.6 MiB | 2024-Nov-25 04:49 |
dotnet-zsh-completion-6.0.136-r1.apk | 1.7 KiB | 2024-Nov-25 04:49 |
dotnet-host-6.0.36-r1.apk | 34.3 KiB | 2024-Nov-25 04:49 |
dotnet-doc-6.0.136-r1.apk | 113.1 KiB | 2024-Nov-25 04:49 |
dotnet-bash-completion-6.0.136-r1.apk | 1.8 KiB | 2024-Nov-25 04:49 |
dotenv-linter-3.3.0-r1.apk | 973.2 KiB | 2024-Oct-25 18:59 |
dooit-pyc-3.1.0-r0.apk | 99.7 KiB | 2024-Dec-07 20:27 |
dooit-extras-pyc-0.2.0-r0.apk | 22.8 KiB | 2024-Dec-07 20:27 |
dooit-extras-0.2.0-r0.apk | 12.7 KiB | 2024-Dec-07 20:27 |
dooit-3.1.0-r0.apk | 44.6 KiB | 2024-Dec-07 20:27 |
dolt-1.43.20-r0.apk | 34.8 MiB | 2024-Dec-06 10:32 |
dodo-pyc-0_git20241007-r0.apk | 85.5 KiB | 2024-Oct-25 18:59 |
dodo-0_git20241007-r0.apk | 185.7 KiB | 2024-Oct-25 18:59 |
dockerize-0.9.0-r0.apk | 3.2 MiB | 2024-Dec-20 05:53 |
docker-volume-local-persist-openrc-1.3.0-r28.apk | 1.8 KiB | 2024-Oct-25 18:59 |
docker-volume-local-persist-1.3.0-r28.apk | 2.3 MiB | 2024-Oct-25 18:59 |
docker-machine-driver-kvm2-1.34.0-r0.apk | 4.1 MiB | 2024-Oct-25 18:59 |
docker-auth-openrc-1.12.0-r0.apk | 2.0 KiB | 2024-Nov-13 11:54 |
docker-auth-1.12.0-r0.apk | 9.6 MiB | 2024-Nov-13 11:54 |
doasedit-1.0.7-r0.apk | 3.6 KiB | 2024-Oct-25 18:59 |
do-agent-openrc-3.16.9-r0.apk | 1.9 KiB | 2024-Oct-25 18:59 |
do-agent-doc-3.16.9-r0.apk | 5.5 KiB | 2024-Oct-25 18:59 |
do-agent-3.16.9-r0.apk | 4.9 MiB | 2024-Oct-25 18:59 |
dnssec-tools-doc-2.2.3-r12.apk | 315.4 KiB | 2024-Oct-25 18:59 |
dnssec-tools-dev-2.2.3-r12.apk | 187.9 KiB | 2024-Oct-25 18:59 |
dnssec-tools-2.2.3-r12.apk | 756.7 KiB | 2024-Oct-25 18:59 |
dnsperf-doc-2.14.0-r0.apk | 34.9 KiB | 2024-Oct-25 18:59 |
dnsperf-2.14.0-r0.apk | 70.9 KiB | 2024-Oct-25 18:59 |
dnsenum-doc-1.3.2-r0.apk | 5.2 KiB | 2024-Oct-25 18:59 |
dnsenum-1.3.2-r0.apk | 21.2 KiB | 2024-Oct-25 18:59 |
dnscrypt-wrapper-0.4.2-r3.apk | 29.1 KiB | 2024-Oct-25 18:59 |
dnscontrol-doc-4.15.2-r0.apk | 2.2 KiB | 2024-Dec-17 20:02 |
dnscontrol-4.15.2-r0.apk | 14.6 MiB | 2024-Dec-17 20:02 |
dnote-zsh-completion-0.15.1-r0.apk | 2.0 KiB | 2024-Oct-25 18:59 |
dnote-doc-0.15.1-r0.apk | 14.7 KiB | 2024-Oct-25 18:59 |
dnote-bash-completion-0.15.1-r0.apk | 2.1 KiB | 2024-Oct-25 18:59 |
dnote-0.15.1-r0.apk | 4.2 MiB | 2024-Oct-25 18:59 |
dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.5 KiB | 2024-Nov-29 22:00 |
dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.8 KiB | 2024-Nov-29 22:00 |
dmarc-metrics-exporter-1.2.0-r0.apk | 25.3 KiB | 2024-Nov-29 22:00 |
dmarc-cat-0.15.0-r0.apk | 2.6 MiB | 2024-Oct-25 18:59 |
dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-Oct-25 18:59 |
dlib-19.24.4-r0.apk | 764.9 KiB | 2024-Oct-25 18:59 |
dived-doc-1.9.0-r0.apk | 10.7 KiB | 2024-Oct-25 18:59 |
dived-1.9.0-r0.apk | 21.8 KiB | 2024-Oct-25 18:59 |
dive-0.12.0-r0.apk | 4.0 MiB | 2024-Oct-25 18:59 |
dissent-0.0.31-r0.apk | 15.9 MiB | 2024-Dec-19 06:09 |
dislocker-libs-0.7.3-r5.apk | 43.5 KiB | 2024-Oct-25 18:59 |
dislocker-doc-0.7.3-r5.apk | 6.1 KiB | 2024-Oct-25 18:59 |
dislocker-0.7.3-r5.apk | 12.1 KiB | 2024-Oct-25 18:59 |
diskus-0.7.0-r2.apk | 322.3 KiB | 2024-Oct-25 18:59 |
diskonaut-0.11.0-r3.apk | 439.8 KiB | 2024-Oct-25 18:59 |
disfetch-3.7-r0.apk | 8.3 KiB | 2024-Oct-25 18:59 |
ding-libs-dev-0.6.2-r4.apk | 68.0 KiB | 2024-Oct-25 18:59 |
ding-libs-0.6.2-r4.apk | 71.3 KiB | 2024-Oct-25 18:59 |
diceware-pyc-0.10-r1.apk | 17.1 KiB | 2024-Oct-25 18:59 |
diceware-0.10-r1.apk | 217.6 KiB | 2024-Oct-25 18:59 |
dhewm3-1.5.2-r0.apk | 4.3 MiB | 2024-Oct-25 18:59 |
dfu-programmer-doc-1.1.0-r0.apk | 5.8 KiB | 2024-Oct-25 18:59 |
dfu-programmer-bash-completion-1.1.0-r0.apk | 2.8 KiB | 2024-Oct-25 18:59 |
dfu-programmer-1.1.0-r0.apk | 35.0 KiB | 2024-Oct-25 18:59 |
dfl-sni-dev-0.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 18:59 |
dfl-sni-0.2.0-r0.apk | 30.4 KiB | 2024-Oct-25 18:59 |
dfl-login1-dev-0.2.0-r0.apk | 3.5 KiB | 2024-Oct-25 18:59 |
dfl-login1-0.2.0-r0.apk | 16.4 KiB | 2024-Oct-25 18:59 |
dfl-ipc-dev-0.2.0-r0.apk | 3.6 KiB | 2024-Oct-25 18:59 |
dfl-ipc-0.2.0-r0.apk | 19.3 KiB | 2024-Oct-25 18:59 |
dfl-applications-dev-0.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 18:59 |
dfl-applications-0.2.0-r0.apk | 31.2 KiB | 2024-Oct-25 18:59 |
dex-doc-0.9.0-r1.apk | 5.8 KiB | 2024-Oct-25 18:59 |
dex-0.9.0-r1.apk | 7.9 KiB | 2024-Oct-25 18:59 |
dewduct-0.2.3-r0.apk | 1.1 MiB | 2024-Oct-25 18:59 |
devil-dev-1.8.0-r0.apk | 12.9 KiB | 2024-Oct-25 18:59 |
devil-1.8.0-r0.apk | 241.1 KiB | 2024-Oct-25 18:59 |
detox-doc-2.0.0-r0.apk | 20.7 KiB | 2024-Oct-25 18:59 |
detox-2.0.0-r0.apk | 107.5 KiB | 2024-Oct-25 18:59 |
desync-0.9.5-r8.apk | 7.5 MiB | 2024-Oct-25 18:59 |
desed-doc-1.2.1-r1.apk | 2.9 KiB | 2024-Oct-25 18:59 |
desed-1.2.1-r1.apk | 385.8 KiB | 2024-Oct-25 18:59 |
dehydrated-0.7.1-r0.apk | 26.4 KiB | 2024-Oct-25 18:59 |
decoder-lang-0.6.1-r0.apk | 59.4 KiB | 2024-Oct-25 18:59 |
decoder-0.6.1-r0.apk | 1.9 MiB | 2024-Oct-25 18:59 |
deblob-doc-0.9-r0.apk | 3.7 KiB | 2024-Dec-01 17:08 |
deblob-0.9-r0.apk | 107.2 KiB | 2024-Dec-01 17:08 |
debconf-utils-1.5.82-r0.apk | 6.7 KiB | 2024-Oct-25 18:59 |
debconf-lang-1.5.82-r0.apk | 132.4 KiB | 2024-Oct-25 18:59 |
debconf-doc-1.5.82-r0.apk | 26.8 KiB | 2024-Oct-25 18:59 |
debconf-bash-completion-1.5.82-r0.apk | 1.9 KiB | 2024-Oct-25 18:59 |
debconf-1.5.82-r0.apk | 69.4 KiB | 2024-Oct-25 18:59 |
deadbeef-soxr-20180801-r0.apk | 6.0 KiB | 2024-Oct-25 18:59 |
ddserver-0_git20200930-r1.apk | 11.9 KiB | 2024-Oct-25 18:59 |
ddnrs-openrc-0.3.0-r0.apk | 2.0 KiB | 2024-Oct-25 18:59 |
ddnrs-0.3.0-r0.apk | 942.8 KiB | 2024-Oct-25 18:59 |
ddgr-zsh-completion-2.2-r0.apk | 2.7 KiB | 2024-Oct-25 18:59 |
ddgr-fish-completion-2.2-r0.apk | 2.3 KiB | 2024-Oct-25 18:59 |
ddgr-doc-2.2-r0.apk | 11.5 KiB | 2024-Oct-25 18:59 |
ddgr-bash-completion-2.2-r0.apk | 2.2 KiB | 2024-Oct-25 18:59 |
ddgr-2.2-r0.apk | 20.1 KiB | 2024-Oct-25 18:59 |
ddcci-driver-linux-src-0.4.5-r0.apk | 19.1 KiB | 2024-Oct-25 18:59 |
dcnnt-pyc-0.10.0-r1.apk | 61.7 KiB | 2024-Oct-25 18:59 |
dcnnt-doc-0.10.0-r1.apk | 6.6 KiB | 2024-Oct-25 18:59 |
dcnnt-0.10.0-r1.apk | 27.8 KiB | 2024-Oct-25 18:59 |
dcmtk-openrc-3.6.8-r0.apk | 1.8 KiB | 2024-Oct-25 18:59 |
dcmtk-doc-3.6.8-r0.apk | 265.8 KiB | 2024-Oct-25 18:59 |
dcmtk-dev-3.6.8-r0.apk | 1.6 MiB | 2024-Oct-25 18:59 |
dcmtk-3.6.8-r0.apk | 1.3 MiB | 2024-Oct-25 18:59 |
dbus-waiter-0.2.0-r0.apk | 663.6 KiB | 2024-Oct-25 18:59 |
dbus-broker-doc-36-r0.apk | 5.8 KiB | 2024-Oct-25 18:59 |
dbus-broker-36-r0.apk | 84.1 KiB | 2024-Oct-25 18:59 |
dbmate-doc-2.16.0-r0.apk | 2.3 KiB | 2024-Oct-25 18:59 |
dbmate-2.16.0-r0.apk | 10.1 MiB | 2024-Oct-25 18:59 |
dasht-zsh-completion-2.4.0-r0.apk | 2.1 KiB | 2024-Oct-25 18:59 |
dasht-doc-2.4.0-r0.apk | 11.4 KiB | 2024-Oct-25 18:59 |
dasht-2.4.0-r0.apk | 14.2 KiB | 2024-Oct-25 18:59 |
darts-clone-dev-0_git20181117-r0.apk | 12.8 KiB | 2024-Oct-25 18:59 |
darts-clone-0_git20181117-r0.apk | 37.4 KiB | 2024-Oct-25 18:59 |
dartaotruntime-3.6.0-r0.apk | 1.4 MiB | 2024-Dec-14 22:29 |
dart-stage0-3.6.0_alpha2_p0-r0.apk | 199.0 MiB | 2024-Dec-14 22:29 |
dart-sdk-3.6.0-r0.apk | 158.3 MiB | 2024-Dec-14 22:28 |
dart-sass-1.82.0-r1.apk | 1.4 MiB | 2024-Dec-14 22:28 |
dart-3.6.0-r0.apk | 47.4 MiB | 2024-Dec-14 22:28 |
daktilo-zsh-completion-0.6.0-r0.apk | 2.3 KiB | 2024-Oct-25 18:59 |
daktilo-fish-completion-0.6.0-r0.apk | 1.9 KiB | 2024-Oct-25 18:59 |
daktilo-doc-0.6.0-r0.apk | 8.7 KiB | 2024-Oct-25 18:59 |
daktilo-bash-completion-0.6.0-r0.apk | 2.2 KiB | 2024-Oct-25 18:59 |
daktilo-0.6.0-r0.apk | 1.8 MiB | 2024-Oct-25 18:59 |
daemontools-openrc-0.76-r3.apk | 2.0 KiB | 2024-Oct-25 18:59 |
daemontools-0.76-r3.apk | 61.1 KiB | 2024-Oct-25 18:59 |
cz-viator-hourglass-black-20210706-r0.apk | 219.0 KiB | 2024-Oct-25 18:59 |
cyrus-sasl-xoauth2-static-0.2-r1.apk | 6.9 KiB | 2024-Oct-25 18:59 |
cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.3 KiB | 2024-Oct-25 18:59 |
cyrus-sasl-xoauth2-0.2-r1.apk | 6.7 KiB | 2024-Oct-25 18:59 |
cvs-fast-export-tools-1.65-r0.apk | 8.7 KiB | 2024-Oct-25 18:59 |
cvs-fast-export-doc-1.65-r0.apk | 17.4 KiB | 2024-Oct-25 18:59 |
cvs-fast-export-1.65-r0.apk | 45.8 KiB | 2024-Oct-25 18:59 |
cvise-pyc-2.8.0-r2.apk | 63.4 KiB | 2024-Oct-25 18:59 |
cvise-2.8.0-r2.apk | 5.7 MiB | 2024-Oct-25 18:59 |
cutechess-doc-1.3.1-r0.apk | 3.6 KiB | 2024-Oct-25 18:59 |
cutechess-cli-doc-1.3.1-r0.apk | 6.6 KiB | 2024-Oct-25 18:59 |
cutechess-cli-1.3.1-r0.apk | 324.4 KiB | 2024-Oct-25 18:59 |
cutechess-1.3.1-r0.apk | 1.1 MiB | 2024-Oct-25 18:59 |
curtail-lang-1.11.1-r0.apk | 65.9 KiB | 2024-Oct-25 18:59 |
curtail-1.11.1-r0.apk | 26.7 KiB | 2024-Oct-25 18:59 |
curlftpfs-doc-0.9.2-r3.apk | 6.1 KiB | 2024-Oct-25 18:59 |
curlftpfs-0.9.2-r3.apk | 24.9 KiB | 2024-Oct-25 18:59 |
cura-lang-5.2.2-r1.apk | 4.1 MiB | 2024-Oct-25 18:59 |
cura-5.2.2-r1.apk | 42.1 MiB | 2024-Oct-25 18:59 |
cups-pdf-3.0.1-r2.apk | 21.6 KiB | 2024-Oct-25 18:59 |
ctorrent-dnh-3.3.2-r2.apk | 86.2 KiB | 2024-Oct-25 18:59 |
csol-doc-1.6.0-r0.apk | 3.8 KiB | 2024-Oct-25 18:59 |
csol-1.6.0-r0.apk | 37.6 KiB | 2024-Oct-25 18:59 |
csmith-doc-2.3.0-r2.apk | 3.1 KiB | 2024-Oct-25 18:59 |
csmith-2.3.0-r2.apk | 303.6 KiB | 2024-Oct-25 18:59 |
csfml-doc-2.5.2-r0.apk | 204.0 KiB | 2024-Oct-25 18:59 |
csfml-dev-2.5.2-r0.apk | 77.2 KiB | 2024-Oct-25 18:59 |
csfml-2.5.2-r0.apk | 92.8 KiB | 2024-Oct-25 18:59 |
cscope-doc-15.9-r1.apk | 7.5 KiB | 2024-Oct-25 18:59 |
cscope-15.9-r1.apk | 151.3 KiB | 2024-Oct-25 18:59 |
crun-vm-doc-0.3.0-r0.apk | 12.9 KiB | 2024-Nov-12 11:40 |
crun-vm-0.3.0-r0.apk | 1.1 MiB | 2024-Nov-12 11:40 |
crowdsec-splunk-plugin-1.6.4-r0.apk | 8.3 MiB | 2024-Nov-24 10:44 |
crowdsec-slack-plugin-1.6.4-r0.apk | 8.4 MiB | 2024-Nov-24 10:44 |
crowdsec-sentinel-plugin-1.6.4-r0.apk | 8.3 MiB | 2024-Nov-24 10:44 |
crowdsec-openrc-1.6.4-r0.apk | 1.7 KiB | 2024-Nov-24 10:44 |
crowdsec-http-plugin-1.6.4-r0.apk | 8.3 MiB | 2024-Nov-24 10:44 |
crowdsec-email-plugin-1.6.4-r0.apk | 8.4 MiB | 2024-Nov-24 10:44 |
crowdsec-1.6.4-r0.apk | 33.8 MiB | 2024-Nov-24 10:44 |
crosstool-ng-doc-1.26.0_git20240914-r0.apk | 3.9 KiB | 2024-Oct-25 18:59 |
crosstool-ng-bash-completion-1.26.0_git20240914..> | 2.2 KiB | 2024-Oct-25 18:59 |
crosstool-ng-1.26.0_git20240914-r0.apk | 2.1 MiB | 2024-Oct-25 18:59 |
crossplane-pyc-0.5.8-r3.apk | 39.2 KiB | 2024-Oct-25 18:59 |
crossplane-0.5.8-r3.apk | 30.2 KiB | 2024-Oct-25 18:59 |
criu-doc-3.19-r1.apk | 24.1 KiB | 2024-Oct-25 18:59 |
criu-dev-3.19-r1.apk | 91.7 KiB | 2024-Oct-25 18:59 |
criu-3.19-r1.apk | 679.7 KiB | 2024-Oct-25 18:59 |
critcl-doc-3.3.1-r0.apk | 787.3 KiB | 2024-Oct-25 18:59 |
critcl-3.3.1-r0.apk | 433.1 KiB | 2024-Oct-25 18:59 |
crispy-doom-doc-7.0-r0.apk | 106.8 KiB | 2024-Oct-25 18:59 |
crispy-doom-7.0-r0.apk | 1.7 MiB | 2024-Oct-25 18:59 |
createrepo_c-libs-1.1.4-r0.apk | 88.0 KiB | 2024-Oct-25 18:59 |
createrepo_c-doc-1.1.4-r0.apk | 8.7 KiB | 2024-Oct-25 18:59 |
createrepo_c-dev-1.1.4-r0.apk | 31.5 KiB | 2024-Oct-25 18:59 |
createrepo_c-bash-completion-1.1.4-r0.apk | 2.9 KiB | 2024-Oct-25 18:59 |
createrepo_c-1.1.4-r0.apk | 46.8 KiB | 2024-Oct-25 18:59 |
create-tauri-app-doc-4.5.8-r0.apk | 6.1 KiB | 2024-Dec-13 16:41 |
create-tauri-app-4.5.8-r0.apk | 638.4 KiB | 2024-Dec-13 16:41 |
crazydiskinfo-1.1.0-r1.apk | 33.6 KiB | 2024-Oct-25 18:59 |
cpufetch-doc-1.06-r0.apk | 3.1 KiB | 2024-Oct-25 18:59 |
cpufetch-1.06-r0.apk | 43.0 KiB | 2024-Oct-25 18:59 |
cpuburn-1.4a_git20160316-r2.apk | 4.1 KiB | 2024-Oct-25 18:59 |
cpu-x-zsh-completion-5.0.4-r1.apk | 2.1 KiB | 2024-Nov-16 15:10 |
cpu-x-lang-5.0.4-r1.apk | 216.3 KiB | 2024-Nov-16 15:10 |
cpu-x-fish-completion-5.0.4-r1.apk | 2.2 KiB | 2024-Nov-16 15:10 |
cpu-x-bash-completion-5.0.4-r1.apk | 2.0 KiB | 2024-Nov-16 15:10 |
cpu-x-5.0.4-r1.apk | 1.7 MiB | 2024-Nov-16 15:10 |
cproc-doc-0_git20240427-r1.apk | 2.8 KiB | 2024-Nov-03 21:51 |
cproc-dbg-0_git20240427-r1.apk | 121.4 KiB | 2024-Nov-03 21:51 |
cproc-0_git20240427-r1.apk | 52.5 KiB | 2024-Nov-03 21:51 |
cpplint-pyc-2.0.0-r0.apk | 96.2 KiB | 2024-Nov-21 21:12 |
cpplint-2.0.0-r0.apk | 76.9 KiB | 2024-Nov-21 21:12 |
cpiped-0.1.0-r0.apk | 6.4 KiB | 2024-Oct-25 18:59 |
coxeter-libs-3.0-r1.apk | 310.8 KiB | 2024-Oct-25 18:59 |
coxeter-dev-3.0-r1.apk | 56.7 KiB | 2024-Oct-25 18:59 |
coxeter-3.0-r1.apk | 48.3 KiB | 2024-Oct-25 18:59 |
cowsay-doc-3.04-r2.apk | 4.0 KiB | 2024-Oct-25 18:59 |
cowsay-3.04-r2.apk | 18.4 KiB | 2024-Oct-25 18:59 |
cosmopolitan-doc-1.0-r0.apk | 152.0 KiB | 2024-Oct-25 18:59 |
cosmopolitan-1.0-r0.apk | 5.5 MiB | 2024-Oct-25 18:59 |
cortex-tenant-openrc-1.15.2-r1.apk | 2.0 KiB | 2024-Dec-19 09:49 |
cortex-tenant-1.15.2-r1.apk | 4.1 MiB | 2024-Dec-19 09:49 |
coreboot-tools-nvramtool-doc-24.08-r1.apk | 4.2 KiB | 2024-Nov-27 21:20 |
coreboot-tools-nvramtool-24.08-r1.apk | 22.5 KiB | 2024-Nov-27 21:20 |
coreboot-tools-intelmetool-24.08-r1.apk | 12.8 KiB | 2024-Nov-27 21:20 |
coreboot-tools-ifdtool-24.08-r1.apk | 21.1 KiB | 2024-Nov-27 21:20 |
coreboot-tools-ectool-24.08-r1.apk | 5.6 KiB | 2024-Nov-27 21:20 |
coreboot-tools-configurator-24.08-r1.apk | 148.1 KiB | 2024-Nov-27 21:20 |
coreboot-tools-cbmem-24.08-r1.apk | 16.9 KiB | 2024-Nov-27 21:20 |
coreboot-tools-24.08-r1.apk | 1.2 KiB | 2024-Nov-27 21:20 |
copyq-doc-9.1.0-r1.apk | 3.4 KiB | 2024-Dec-12 17:43 |
copyq-bash-completion-9.1.0-r1.apk | 2.2 KiB | 2024-Dec-12 17:43 |
copyq-9.1.0-r1.apk | 2.5 MiB | 2024-Dec-12 17:43 |
convert2json-doc-1.1.2-r0.apk | 10.7 KiB | 2024-Nov-30 11:15 |
convert2json-1.1.2-r0.apk | 2.2 MiB | 2024-Nov-30 11:15 |
contractor-0.3.5-r0.apk | 26.0 KiB | 2024-Nov-12 21:55 |
contour-zsh-plugin-0.5.1.7247-r2.apk | 2.1 KiB | 2024-Dec-14 21:22 |
contour-fish-plugin-0.5.1.7247-r2.apk | 2.2 KiB | 2024-Dec-14 21:22 |
contour-doc-0.5.1.7247-r2.apk | 5.4 KiB | 2024-Dec-14 21:22 |
contour-bash-plugin-0.5.1.7247-r2.apk | 6.4 KiB | 2024-Dec-14 21:22 |
contour-0.5.1.7247-r2.apk | 1.8 MiB | 2024-Dec-14 21:22 |
consul-replicate-0.4.0-r26.apk | 2.7 MiB | 2024-Oct-25 18:59 |
console_bridge-dev-1.0.2-r0.apk | 4.7 KiB | 2024-Oct-25 18:59 |
console_bridge-1.0.2-r0.apk | 9.6 KiB | 2024-Oct-25 18:59 |
conntracct-openrc-0.2.7-r26.apk | 1.9 KiB | 2024-Oct-25 18:59 |
conntracct-0.2.7-r26.apk | 4.8 MiB | 2024-Oct-25 18:59 |
compiz-utils-0.9.14.2-r7.apk | 3.3 KiB | 2024-Nov-22 15:30 |
compiz-pyc-0.9.14.2-r7.apk | 111.5 KiB | 2024-Nov-22 15:30 |
compiz-lang-0.9.14.2-r7.apk | 1.2 MiB | 2024-Nov-22 15:30 |
compiz-dev-0.9.14.2-r7.apk | 117.1 KiB | 2024-Nov-22 15:30 |
compiz-0.9.14.2-r7.apk | 6.0 MiB | 2024-Nov-22 15:30 |
commoncpp-tools-7.0.1-r1.apk | 46.6 KiB | 2024-Oct-25 18:59 |
commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2024-Oct-25 18:59 |
commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2024-Oct-25 18:59 |
commoncpp-7.0.1-r1.apk | 288.5 KiB | 2024-Oct-25 18:59 |
comics-downloader-gui-0.33.8-r5.apk | 5.4 MiB | 2024-Oct-25 18:59 |
comics-downloader-0.33.8-r5.apk | 3.6 MiB | 2024-Oct-25 18:59 |
colorpicker-0_git20201128-r1.apk | 4.2 KiB | 2024-Oct-25 18:59 |
colormake-doc-0.9.20170221-r0.apk | 2.7 KiB | 2024-Oct-25 18:59 |
colormake-0.9.20170221-r0.apk | 4.0 KiB | 2024-Oct-25 18:59 |
coin-dev-4.0.3-r0.apk | 326.2 KiB | 2024-Dec-13 20:38 |
coin-4.0.3-r0.apk | 3.0 MiB | 2024-Dec-13 20:38 |
codeberg-cli-zsh-completion-0.4.6-r0.apk | 6.4 KiB | 2024-Nov-14 13:11 |
codeberg-cli-fish-completion-0.4.6-r0.apk | 4.5 KiB | 2024-Nov-14 13:11 |
codeberg-cli-bash-completion-0.4.6-r0.apk | 4.9 KiB | 2024-Nov-14 13:11 |
codeberg-cli-0.4.6-r0.apk | 1.9 MiB | 2024-Nov-14 13:11 |
code-oss-zsh-completion-1.96.1-r0.apk | 2.6 KiB | 2024-Dec-19 06:09 |
code-oss-bash-completion-1.96.1-r0.apk | 2.1 KiB | 2024-Dec-19 06:09 |
code-oss-1.96.1-r0.apk | 22.7 MiB | 2024-Dec-19 06:09 |
code-minimap-doc-0.6.7-r0.apk | 8.0 KiB | 2024-Dec-12 19:36 |
code-minimap-0.6.7-r0.apk | 355.6 KiB | 2024-Dec-12 19:36 |
cocogitto-zsh-completion-6.2.0-r1.apk | 3.0 KiB | 2024-Dec-12 21:08 |
cocogitto-fish-completion-6.2.0-r1.apk | 3.3 KiB | 2024-Dec-12 21:08 |
cocogitto-doc-6.2.0-r1.apk | 35.9 KiB | 2024-Dec-12 21:08 |
cocogitto-bash-completion-6.2.0-r1.apk | 3.0 KiB | 2024-Dec-12 21:08 |
cocogitto-6.2.0-r1.apk | 2.1 MiB | 2024-Dec-12 21:08 |
coccinelle-doc-1.1.1-r2.apk | 16.0 KiB | 2024-Oct-25 18:59 |
coccinelle-bash-completion-1.1.1-r2.apk | 2.9 KiB | 2024-Oct-25 18:59 |
coccinelle-1.1.1-r2.apk | 6.7 MiB | 2024-Oct-25 18:59 |
cmusfm-0.5.0-r0.apk | 13.9 KiB | 2024-Oct-25 18:59 |
cm256cc-dev-1.1.1-r0.apk | 15.4 KiB | 2024-Oct-25 18:59 |
cm256cc-1.1.1-r0.apk | 9.3 KiB | 2024-Oct-25 18:59 |
cluster-glue-libs-1.0.12-r5.apk | 112.2 KiB | 2024-Oct-25 18:59 |
cluster-glue-doc-1.0.12-r5.apk | 32.9 KiB | 2024-Oct-25 18:59 |
cluster-glue-dev-1.0.12-r5.apk | 1.0 MiB | 2024-Oct-25 18:59 |
cluster-glue-1.0.12-r5.apk | 261.1 KiB | 2024-Oct-25 18:59 |
cloudfoundry-cli-8.7.9-r4.apk | 8.9 MiB | 2024-Oct-25 18:59 |
cloudflared-openrc-2024.11.0-r0.apk | 1.7 KiB | 2024-Dec-14 21:22 |
cloudflared-doc-2024.11.0-r0.apk | 1.9 KiB | 2024-Dec-14 21:22 |
cloudflared-2024.11.0-r0.apk | 9.3 MiB | 2024-Dec-14 21:22 |
cloud-hypervisor-42.0-r0.apk | 2.5 MiB | 2024-Dec-14 17:27 |
cln-doc-1.3.7-r0.apk | 77.8 KiB | 2024-Oct-25 18:59 |
cln-dev-1.3.7-r0.apk | 1.1 MiB | 2024-Oct-25 18:59 |
cln-1.3.7-r0.apk | 450.8 KiB | 2024-Oct-25 18:59 |
cliquer-tests-1.22-r2.apk | 24.0 KiB | 2024-Oct-25 18:59 |
cliquer-static-1.22-r2.apk | 27.6 KiB | 2024-Oct-25 18:59 |
cliquer-libs-1.22-r2.apk | 23.6 KiB | 2024-Oct-25 18:59 |
cliquer-dev-1.22-r2.apk | 7.4 KiB | 2024-Oct-25 18:59 |
cliquer-1.22-r2.apk | 7.2 KiB | 2024-Oct-25 18:59 |
clipit-doc-1.4.5-r3.apk | 2.4 KiB | 2024-Oct-25 18:59 |
clipit-1.4.5-r3.apk | 64.6 KiB | 2024-Oct-25 18:59 |
cliphist-fzf-0.6.1-r0.apk | 1.7 KiB | 2024-Oct-25 18:59 |
cliphist-0.6.1-r0.apk | 942.3 KiB | 2024-Oct-25 18:59 |
clinfo-doc-3.0.23.01.25-r0.apk | 6.5 KiB | 2024-Oct-25 18:59 |
clinfo-3.0.23.01.25-r0.apk | 46.5 KiB | 2024-Oct-25 18:59 |
click-pyc-0.5.2-r3.apk | 176.3 KiB | 2024-Oct-25 18:59 |
click-doc-0.5.2-r3.apk | 3.3 KiB | 2024-Oct-25 18:59 |
click-dev-0.5.2-r3.apk | 9.3 KiB | 2024-Oct-25 18:59 |
click-0.5.2-r3.apk | 159.6 KiB | 2024-Oct-25 18:59 |
clevis-extra-pins-0_git20230629-r0.apk | 4.7 KiB | 2024-Oct-25 18:59 |
clevis-doc-19-r0.apk | 22.2 KiB | 2024-Oct-25 18:59 |
clevis-dbg-19-r0.apk | 50.4 KiB | 2024-Oct-25 18:59 |
clevis-bash-completion-19-r0.apk | 2.1 KiB | 2024-Oct-25 18:59 |
clevis-19-r0.apk | 45.8 KiB | 2024-Oct-25 18:59 |
clementine-1.4.0_git20220324-r12.apk | 7.4 MiB | 2024-Oct-25 18:59 |
clatd-1.6-r0.apk | 12.6 KiB | 2024-Oct-25 18:59 |
ckb-next-dev-0.6.0-r1.apk | 5.0 KiB | 2024-Oct-25 18:59 |
ckb-next-daemon-openrc-0.6.0-r1.apk | 1.9 KiB | 2024-Oct-25 18:59 |
ckb-next-daemon-0.6.0-r1.apk | 68.8 KiB | 2024-Oct-25 18:59 |
ckb-next-0.6.0-r1.apk | 1.2 MiB | 2024-Oct-25 18:59 |
circuslinux-doc-1.0.3-r1.apk | 18.0 KiB | 2024-Oct-25 18:59 |
circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2024-Oct-25 18:59 |
circuslinux-1.0.3-r1.apk | 19.4 KiB | 2024-Oct-25 18:59 |
cinny-web-4.2.3-r0.apk | 10.4 MiB | 2024-Nov-21 04:49 |
cinny-4.2.3-r0.apk | 11.5 MiB | 2024-Nov-13 11:54 |
cimg-3.4.1-r0.apk | 826.0 KiB | 2024-Oct-25 18:59 |
cilium-cli-zsh-completion-0.16.13-r0.apk | 4.0 KiB | 2024-Oct-25 18:59 |
cilium-cli-fish-completion-0.16.13-r0.apk | 4.3 KiB | 2024-Oct-25 18:59 |
cilium-cli-bash-completion-0.16.13-r0.apk | 5.1 KiB | 2024-Oct-25 18:59 |
cilium-cli-0.16.13-r0.apk | 55.2 MiB | 2024-Oct-25 18:59 |
chocolate-doom-doc-3.1.0-r0.apk | 231.3 KiB | 2024-Oct-25 18:59 |
chocolate-doom-3.1.0-r0.apk | 1.6 MiB | 2024-Oct-25 18:59 |
chim-doc-1.1.2-r1.apk | 2.8 KiB | 2024-Oct-25 18:59 |
chim-1.1.2-r1.apk | 1.7 MiB | 2024-Oct-25 18:59 |
chicago95-icons-3.0.1_git20240619-r0.apk | 12.0 MiB | 2024-Oct-25 18:59 |
chicago95-fonts-3.0.1_git20240619-r0.apk | 214.8 KiB | 2024-Oct-25 18:59 |
chicago95-3.0.1_git20240619-r0.apk | 481.1 KiB | 2024-Oct-25 18:59 |
cherrytree-lang-1.2.0-r3.apk | 845.6 KiB | 2024-Dec-18 12:39 |
cherrytree-doc-1.2.0-r3.apk | 2.1 KiB | 2024-Dec-18 12:39 |
cherrytree-1.2.0-r3.apk | 2.7 MiB | 2024-Dec-18 12:39 |
checkpolicy-doc-3.6-r0.apk | 4.2 KiB | 2024-Oct-25 18:59 |
checkpolicy-3.6-r0.apk | 367.6 KiB | 2024-Oct-25 18:59 |
charls-dev-2.4.2-r0.apk | 26.6 KiB | 2024-Oct-25 18:59 |
charls-2.4.2-r0.apk | 64.4 KiB | 2024-Oct-25 18:59 |
chamo-dev-4.0-r0.apk | 4.1 MiB | 2024-Oct-25 18:59 |
chamo-byte-4.0-r0.apk | 1.4 MiB | 2024-Oct-25 18:59 |
chamo-4.0-r0.apk | 6.3 MiB | 2024-Oct-25 18:59 |
cgo-doc-0.6.1-r1.apk | 4.1 KiB | 2024-Oct-25 18:59 |
cgo-0.6.1-r1.apk | 9.9 KiB | 2024-Oct-25 18:59 |
cgiirc-0.5.12-r1.apk | 132.7 KiB | 2024-Oct-25 18:59 |
cfssl-1.6.5-r0.apk | 28.8 MiB | 2024-Oct-25 18:59 |
certstrap-1.3.0-r18.apk | 2.1 MiB | 2024-Oct-25 18:59 |
certigo-1.16.0-r18.apk | 3.5 MiB | 2024-Oct-25 18:59 |
certbot-dns-pdns-pyc-0.1.1-r0.apk | 3.9 KiB | 2024-Oct-25 18:59 |
certbot-dns-pdns-0.1.1-r0.apk | 8.9 KiB | 2024-Oct-25 18:59 |
certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.2 KiB | 2024-Nov-27 23:05 |
certbot-dns-njalla-2.0.0-r0.apk | 9.3 KiB | 2024-Nov-27 23:05 |
cemu-lang-2.4-r1.apk | 380.7 KiB | 2024-Dec-14 21:22 |
cemu-2.4-r1.apk | 20.0 MiB | 2024-Dec-14 21:22 |
cdogs-sdl-2.1.0-r0.apk | 33.4 MiB | 2024-Oct-25 18:59 |
cdist-pyc-7.0.0-r6.apk | 127.6 KiB | 2024-Oct-25 18:59 |
cdist-7.0.0-r6.apk | 511.0 KiB | 2024-Oct-25 18:59 |
cddlib-tools-0.94m-r2.apk | 35.3 KiB | 2024-Oct-25 18:59 |
cddlib-static-0.94m-r2.apk | 250.8 KiB | 2024-Oct-25 18:59 |
cddlib-doc-0.94m-r2.apk | 863.7 KiB | 2024-Oct-25 18:59 |
cddlib-dev-0.94m-r2.apk | 14.1 KiB | 2024-Oct-25 18:59 |
cddlib-0.94m-r2.apk | 184.2 KiB | 2024-Oct-25 18:59 |
cdba-server-1.0-r2.apk | 20.6 KiB | 2024-Oct-25 18:59 |
cdba-1.0-r2.apk | 7.6 KiB | 2024-Oct-25 18:59 |
ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2024-Oct-25 18:59 |
ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2024-Oct-25 18:59 |
ccze-0.2.1-r1.apk | 51.1 KiB | 2024-Oct-25 18:59 |
ccrtp-doc-2.1.2-r0.apk | 31.3 KiB | 2024-Oct-25 18:59 |
ccrtp-dev-2.1.2-r0.apk | 52.7 KiB | 2024-Oct-25 18:59 |
ccrtp-2.1.2-r0.apk | 91.4 KiB | 2024-Oct-25 18:59 |
cc65-2.19-r0.apk | 8.9 MiB | 2024-Oct-25 18:59 |
cava-0.10.3-r0.apk | 41.4 KiB | 2024-Nov-16 19:14 |
catfish-pyc-4.18.0-r2.apk | 100.8 KiB | 2024-Oct-25 18:59 |
catfish-lang-4.18.0-r2.apk | 249.9 KiB | 2024-Oct-25 18:59 |
catfish-doc-4.18.0-r2.apk | 12.8 KiB | 2024-Oct-25 18:59 |
catfish-4.18.0-r2.apk | 218.7 KiB | 2024-Oct-25 18:59 |
catdoc-doc-0.95-r1.apk | 9.2 KiB | 2024-Oct-25 18:59 |
catdoc-0.95-r1.apk | 108.2 KiB | 2024-Oct-25 18:59 |
catcodec-doc-1.0.5-r2.apk | 4.9 KiB | 2024-Oct-25 18:59 |
catcodec-1.0.5-r2.apk | 12.5 KiB | 2024-Oct-25 18:59 |
castor-0.9.0-r2.apk | 723.4 KiB | 2024-Oct-25 18:59 |
castero-pyc-0.9.5-r3.apk | 94.9 KiB | 2024-Oct-25 18:59 |
castero-0.9.5-r3.apk | 51.9 KiB | 2024-Oct-25 18:59 |
cargo-vendor-filterer-0.5.9-r1.apk | 444.4 KiB | 2024-Oct-25 18:59 |
cargo-update-doc-16.0.0-r0.apk | 8.2 KiB | 2024-Dec-14 18:07 |
cargo-update-16.0.0-r0.apk | 1.1 MiB | 2024-Dec-14 18:07 |
cargo-sort-1.0.9_git20240110-r0.apk | 405.1 KiB | 2024-Oct-25 18:59 |
cargo-shuttle-zsh-completion-0.48.3-r0.apk | 6.3 KiB | 2024-Oct-25 18:59 |
cargo-shuttle-fish-completion-0.48.3-r0.apk | 5.4 KiB | 2024-Oct-25 18:59 |
cargo-shuttle-doc-0.48.3-r0.apk | 9.4 KiB | 2024-Oct-25 18:59 |
cargo-shuttle-bash-completion-0.48.3-r0.apk | 4.3 KiB | 2024-Oct-25 18:59 |
cargo-shuttle-0.48.3-r0.apk | 5.4 MiB | 2024-Oct-25 18:59 |
cargo-run-bin-doc-1.7.2-r0.apk | 5.1 KiB | 2024-Oct-25 18:59 |
cargo-run-bin-1.7.2-r0.apk | 442.1 KiB | 2024-Oct-25 18:59 |
cargo-machete-doc-0.6.2-r0.apk | 4.0 KiB | 2024-Oct-25 18:59 |
cargo-machete-0.6.2-r0.apk | 1.2 MiB | 2024-Oct-25 18:59 |
cargo-leptos-doc-0.2.24-r0.apk | 2.2 KiB | 2024-Dec-08 20:35 |
cargo-leptos-0.2.24-r0.apk | 6.1 MiB | 2024-Dec-08 20:35 |
cargo-generate-0.22.0-r1.apk | 2.1 MiB | 2024-Nov-22 19:05 |
cargo-expand-doc-1.0.94-r0.apk | 5.7 KiB | 2024-Nov-05 13:13 |
cargo-expand-1.0.94-r0.apk | 2.3 MiB | 2024-Nov-05 13:13 |
cargo-crev-0.25.9-r0.apk | 5.8 MiB | 2024-Oct-25 18:59 |
care-doc-2.3.0-r1.apk | 7.9 KiB | 2024-Oct-25 18:59 |
care-2.3.0-r1.apk | 95.4 KiB | 2024-Oct-25 18:59 |
caps2esc-0.3.2-r0.apk | 4.4 KiB | 2024-Oct-25 18:59 |
caprine-2.60.3-r0.apk | 16.3 MiB | 2024-Dec-04 21:55 |
calibre-zsh-completion-7.23.0-r0.apk | 44.5 KiB | 2024-Dec-21 03:30 |
calibre-pyc-7.23.0-r0.apk | 2.5 KiB | 2024-Dec-21 03:30 |
calibre-doc-7.23.0-r0.apk | 1.9 MiB | 2024-Dec-21 03:30 |
calibre-bash-completion-7.23.0-r0.apk | 5.2 KiB | 2024-Dec-21 03:30 |
calibre-7.23.0-r0.apk | 39.4 MiB | 2024-Dec-21 03:30 |
caja-gtkhash-plugin-1.5-r0.apk | 25.0 KiB | 2024-Oct-25 18:59 |
caffeine-ng-lang-4.2.0-r1.apk | 34.4 KiB | 2024-Oct-25 18:59 |
caffeine-ng-doc-4.2.0-r1.apk | 3.2 KiB | 2024-Oct-25 18:59 |
caffeine-ng-4.2.0-r1.apk | 100.4 KiB | 2024-Oct-25 18:59 |
cadence-0.9.2-r0.apk | 1.9 MiB | 2024-Oct-25 18:59 |
c2rust-0.18.0-r1.apk | 1.9 MiB | 2024-Oct-25 18:59 |
bwrap-oci-doc-0.2-r1.apk | 2.5 KiB | 2024-Oct-25 18:59 |
bwrap-oci-0.2-r1.apk | 15.7 KiB | 2024-Oct-25 18:59 |
butane-0.22.0-r0.apk | 2.8 MiB | 2024-Oct-25 18:59 |
burp-server-3.1.4-r0.apk | 36.3 KiB | 2024-Oct-25 18:59 |
burp-doc-3.1.4-r0.apk | 99.4 KiB | 2024-Oct-25 18:59 |
burp-3.1.4-r0.apk | 170.0 KiB | 2024-Oct-25 18:59 |
bump2version-pyc-1.0.1-r6.apk | 29.1 KiB | 2024-Oct-25 18:59 |
bump2version-1.0.1-r6.apk | 20.8 KiB | 2024-Oct-25 18:59 |
buildcache-0.28.9-r0.apk | 797.9 KiB | 2024-Oct-25 18:59 |
buf-zsh-completion-1.47.2-r0.apk | 4.0 KiB | 2024-Dec-14 21:22 |
buf-protoc-plugins-1.47.2-r0.apk | 12.3 MiB | 2024-Dec-14 21:22 |
buf-fish-completion-1.47.2-r0.apk | 4.2 KiB | 2024-Dec-14 21:22 |
buf-bash-completion-1.47.2-r0.apk | 8.2 KiB | 2024-Dec-14 21:22 |
buf-1.47.2-r0.apk | 13.1 MiB | 2024-Dec-14 21:22 |
btpd-doc-0.16-r2.apk | 8.4 KiB | 2024-Oct-25 18:59 |
btpd-0.16-r2.apk | 79.9 KiB | 2024-Oct-25 18:59 |
btfs-doc-2.24-r12.apk | 2.4 KiB | 2024-Oct-25 18:59 |
btfs-2.24-r12.apk | 29.3 KiB | 2024-Oct-25 18:59 |
btcd-0.24.0-r3.apk | 14.5 MiB | 2024-Oct-25 18:59 |
brogue-1.14.1-r0.apk | 855.1 KiB | 2024-Nov-15 01:10 |
brltty-static-6.7-r0.apk | 23.8 KiB | 2024-Oct-25 18:59 |
brltty-lang-6.7-r0.apk | 148.7 KiB | 2024-Oct-25 18:59 |
brltty-doc-6.7-r0.apk | 9.4 KiB | 2024-Oct-25 18:59 |
brltty-dev-6.7-r0.apk | 140.1 KiB | 2024-Oct-25 18:59 |
brltty-6.7-r0.apk | 2.1 MiB | 2024-Oct-25 18:59 |
brial-dev-1.2.11-r4.apk | 1.6 MiB | 2024-Oct-25 18:59 |
brial-1.2.11-r4.apk | 1.0 MiB | 2024-Oct-25 18:59 |
boxes-doc-2.3.1-r0.apk | 7.1 KiB | 2024-Oct-25 18:59 |
boxes-2.3.1-r0.apk | 77.5 KiB | 2024-Oct-25 18:59 |
boxed-cpp-doc-1.4.3-r0.apk | 5.4 KiB | 2024-Oct-25 18:59 |
boxed-cpp-dev-1.4.3-r0.apk | 6.2 KiB | 2024-Oct-25 18:59 |
boxed-cpp-1.4.3-r0.apk | 1.2 KiB | 2024-Oct-25 18:59 |
boson-0_git20211219-r0.apk | 17.3 KiB | 2024-Oct-25 18:59 |
bore-0.5.2-r0.apk | 534.7 KiB | 2024-Dec-15 19:29 |
bootterm-dbg-0.5-r0.apk | 2.4 KiB | 2024-Oct-25 18:59 |
bootterm-0.5-r0.apk | 18.2 KiB | 2024-Oct-25 18:59 |
bootloose-0.7.1-r6.apk | 2.1 MiB | 2024-Oct-25 18:59 |
bootinfo-pyc-0.1.0-r4.apk | 8.2 KiB | 2024-Oct-25 18:59 |
bootinfo-0.1.0-r4.apk | 19.4 KiB | 2024-Oct-25 18:59 |
bonzomatic-20230615-r0.apk | 627.9 KiB | 2024-Oct-25 18:59 |
bomctl-zsh-completion-0.1.9-r1.apk | 4.1 KiB | 2024-Oct-25 18:59 |
bomctl-fish-completion-0.1.9-r1.apk | 4.3 KiB | 2024-Oct-25 18:59 |
bomctl-bash-completion-0.1.9-r1.apk | 5.1 KiB | 2024-Oct-25 18:59 |
bomctl-0.1.9-r1.apk | 9.1 MiB | 2024-Oct-25 18:59 |
boinc-screensaver-7.24.3-r0.apk | 124.1 KiB | 2024-Oct-25 18:59 |
boinc-libs-7.24.3-r0.apk | 201.7 KiB | 2024-Oct-25 18:59 |
boinc-lang-7.24.3-r0.apk | 877.0 KiB | 2024-Oct-25 18:59 |
boinc-gui-7.24.3-r0.apk | 1.0 MiB | 2024-Oct-25 18:59 |
boinc-doc-7.24.3-r0.apk | 8.0 KiB | 2024-Oct-25 18:59 |
boinc-dev-7.24.3-r0.apk | 583.0 KiB | 2024-Oct-25 18:59 |
boinc-7.24.3-r0.apk | 1.5 MiB | 2024-Oct-25 18:59 |
bochs-doc-2.8-r0.apk | 139.5 KiB | 2024-Oct-25 18:59 |
bochs-2.8-r0.apk | 920.5 KiB | 2024-Oct-25 18:59 |
bobcat-doc-4.09.00-r0.apk | 345.4 KiB | 2024-Oct-25 18:59 |
bobcat-dev-4.09.00-r0.apk | 643.3 KiB | 2024-Oct-25 18:59 |
bobcat-4.09.00-r0.apk | 655.6 KiB | 2024-Oct-25 18:59 |
boa-cli-0.20-r0.apk | 7.1 MiB | 2024-Dec-05 21:07 |
bliss-dev-0.77-r1.apk | 107.5 KiB | 2024-Oct-25 18:59 |
bliss-0.77-r1.apk | 69.4 KiB | 2024-Oct-25 18:59 |
blip-doc-0.10-r0.apk | 32.0 KiB | 2024-Oct-25 18:59 |
blip-0.10-r0.apk | 15.3 KiB | 2024-Oct-25 18:59 |
blackbox-1.20220610-r1.apk | 15.7 KiB | 2024-Oct-25 18:59 |
bkt-doc-0.8.0-r0.apk | 7.3 KiB | 2024-Oct-25 18:59 |
bkt-0.8.0-r0.apk | 359.7 KiB | 2024-Oct-25 18:59 |
bitritter-0.1.1-r0.apk | 2.3 MiB | 2024-Oct-25 18:59 |
bitlbee-mastodon-1.4.5-r0.apk | 46.7 KiB | 2024-Oct-25 18:59 |
bitlbee-facebook-1.2.2-r0.apk | 59.5 KiB | 2024-Oct-25 18:59 |
birdtray-1.9.0-r1.apk | 406.8 KiB | 2024-Oct-25 18:59 |
bird3-openrc-3.0.0-r0.apk | 2.3 KiB | 2024-Dec-20 17:10 |
bird3-dbg-3.0.0-r0.apk | 2.2 MiB | 2024-Dec-20 17:10 |
bird3-3.0.0-r0.apk | 547.0 KiB | 2024-Dec-20 17:10 |
bionic_translation-dev-0_git20240525-r0.apk | 1.7 KiB | 2024-Oct-25 18:59 |
bionic_translation-dbg-0_git20240525-r0.apk | 116.2 KiB | 2024-Oct-25 18:59 |
bionic_translation-0_git20240525-r0.apk | 42.7 KiB | 2024-Oct-25 18:59 |
biometryd-dev-0.3.1-r6.apk | 13.3 KiB | 2024-Nov-12 19:23 |
biometryd-0.3.1-r6.apk | 298.4 KiB | 2024-Nov-12 19:23 |
biome-1.9.4-r1.apk | 5.3 MiB | 2024-Oct-27 18:06 |
binwalk-pyc-2.4.3-r0.apk | 167.2 KiB | 2024-Dec-01 17:24 |
binwalk-2.4.3-r0.apk | 145.3 KiB | 2024-Dec-01 17:24 |
bindfs-doc-1.17.6-r0.apk | 9.1 KiB | 2024-Oct-25 18:59 |
bindfs-1.17.6-r0.apk | 20.5 KiB | 2024-Oct-25 18:59 |
bgs-doc-0.8-r1.apk | 2.3 KiB | 2024-Oct-25 18:59 |
bgs-0.8-r1.apk | 5.7 KiB | 2024-Oct-25 18:59 |
bgpq4-doc-1.15-r0.apk | 6.3 KiB | 2024-Oct-25 18:59 |
bgpq4-1.15-r0.apk | 32.9 KiB | 2024-Oct-25 18:59 |
bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2024-Oct-25 18:59 |
bestline-dev-0.0_git20211108-r0.apk | 1.7 KiB | 2024-Oct-25 18:59 |
bestline-0.0_git20211108-r0.apk | 21.0 KiB | 2024-Oct-25 18:59 |
berry-lang-1.1.0-r0.apk | 113.2 KiB | 2024-Oct-25 18:59 |
belr-dev-5.3.83-r0.apk | 14.9 KiB | 2024-Oct-25 18:59 |
belr-5.3.83-r0.apk | 107.1 KiB | 2024-Oct-25 18:59 |
belle-sip-dev-5.3.83-r0.apk | 55.2 KiB | 2024-Oct-25 18:59 |
belle-sip-5.3.83-r0.apk | 628.3 KiB | 2024-Oct-25 18:59 |
belcard-libs-5.3.83-r0.apk | 207.5 KiB | 2024-Oct-25 18:59 |
belcard-dev-5.3.83-r0.apk | 12.2 KiB | 2024-Oct-25 18:59 |
belcard-5.3.83-r0.apk | 12.0 KiB | 2024-Oct-25 18:59 |
bees-openrc-0.10-r2.apk | 1.9 KiB | 2024-Oct-25 18:59 |
bees-0.10-r2.apk | 290.0 KiB | 2024-Oct-25 18:59 |
beard-doc-0.4-r0.apk | 2.5 KiB | 2024-Oct-25 18:59 |
beard-0.4-r0.apk | 3.1 KiB | 2024-Oct-25 18:59 |
beancount-language-server-1.3.6-r0.apk | 1.3 MiB | 2024-Nov-05 23:46 |
bdfr-2.6.2-r1.apk | 130.9 KiB | 2024-Oct-25 18:59 |
bchunk-doc-1.2.2-r3.apk | 3.0 KiB | 2024-Oct-25 18:59 |
bchunk-1.2.2-r3.apk | 6.9 KiB | 2024-Oct-25 18:59 |
bcg729-dev-1.1.1-r0.apk | 3.5 KiB | 2024-Oct-25 18:59 |
bcg729-1.1.1-r0.apk | 32.6 KiB | 2024-Oct-25 18:59 |
bazel7-7.4.1-r0.apk | 32.4 MiB | 2024-Nov-15 11:42 |
bazel6-bash-completion-6.5.0-r0.apk | 42.4 KiB | 2024-Oct-25 18:59 |
bazel6-6.5.0-r0.apk | 30.3 MiB | 2024-Oct-25 18:59 |
bazel5-bash-completion-5.3.2-r0.apk | 31.5 KiB | 2024-Oct-25 18:59 |
bazel5-5.3.2-r0.apk | 28.8 MiB | 2024-Oct-25 18:59 |
batmon-0.0.1-r0.apk | 439.3 KiB | 2024-Oct-25 18:59 |
base64c-dev-0.2.1-r0.apk | 5.3 KiB | 2024-Oct-25 18:59 |
base64c-0.2.1-r0.apk | 4.2 KiB | 2024-Oct-25 18:59 |
bartib-1.0.1-r1.apk | 354.3 KiB | 2024-Oct-25 18:59 |
barrier-doc-2.4.0-r1.apk | 13.2 KiB | 2024-Oct-25 18:59 |
barrier-2.4.0-r1.apk | 920.9 KiB | 2024-Oct-25 18:59 |
barnyard2-openrc-2.1.14_git20160413-r1.apk | 2.8 KiB | 2024-Oct-25 18:59 |
barnyard2-2.1.14_git20160413-r1.apk | 124.8 KiB | 2024-Oct-25 18:59 |
barman-pyc-3.11.1-r0.apk | 535.6 KiB | 2024-Oct-25 18:59 |
barman-doc-3.11.1-r0.apk | 50.1 KiB | 2024-Oct-25 18:59 |
barman-bash-completion-3.11.1-r0.apk | 1.6 KiB | 2024-Oct-25 18:59 |
barman-3.11.1-r0.apk | 336.3 KiB | 2024-Oct-25 18:59 |
bankstown-lv2-1.1.0-r0.apk | 160.8 KiB | 2024-Oct-25 18:59 |
bananui-shell-0.2.0-r0.apk | 102.6 KiB | 2024-Oct-25 18:59 |
bananui-dev-2.0.0-r0.apk | 91.4 KiB | 2024-Oct-25 18:59 |
bananui-demos-2.0.0-r0.apk | 8.5 KiB | 2024-Oct-25 18:59 |
bananui-dbg-2.0.0-r0.apk | 173.1 KiB | 2024-Oct-25 18:59 |
bananui-daemons-0.1.0-r0.apk | 43.8 KiB | 2024-Oct-25 18:59 |
bananui-clock-0.1.0-r0.apk | 6.6 KiB | 2024-Oct-25 18:59 |
bananui-2.0.0-r0.apk | 53.6 KiB | 2024-Oct-25 18:59 |
bakelite-0.4.2-r0.apk | 34.3 KiB | 2024-Oct-25 18:59 |
bake-2.5.1-r0.apk | 109.4 KiB | 2024-Oct-25 18:59 |
baikal-sqlite-0.10.1-r0.apk | 1.4 KiB | 2024-Nov-10 17:58 |
baikal-pgsql-0.10.1-r0.apk | 1.2 KiB | 2024-Nov-10 17:58 |
baikal-mysql-0.10.1-r0.apk | 1.2 KiB | 2024-Nov-10 17:58 |
baikal-0.10.1-r0.apk | 1.2 MiB | 2024-Nov-10 17:58 |
backup-manager-0.7.15-r1.apk | 55.3 KiB | 2024-Oct-25 18:59 |
babashka-1.3.188-r0.apk | 23.4 MiB | 2024-Oct-25 18:59 |
b2sum-doc-20190729-r2.apk | 2.7 KiB | 2024-Oct-25 18:59 |
b2sum-20190729-r2.apk | 15.0 KiB | 2024-Oct-25 18:59 |
azure-iot-sdk-c-static-1.11.0-r0.apk | 780.1 KiB | 2024-Oct-25 18:59 |
azpainter-doc-3.0.9-r0.apk | 42.0 KiB | 2024-Oct-25 18:59 |
azpainter-3.0.9-r0.apk | 804.4 KiB | 2024-Oct-25 18:59 |
azote-pyc-1.14.0-r0.apk | 98.0 KiB | 2024-Dec-14 21:22 |
azote-1.14.0-r0.apk | 7.6 MiB | 2024-Dec-14 21:22 |
aws-lc-tools-1.41.1-r0.apk | 116.4 KiB | 2024-Dec-22 06:15 |
aws-lc-dev-1.41.1-r0.apk | 408.1 KiB | 2024-Dec-22 06:15 |
aws-lc-1.41.1-r0.apk | 1.4 MiB | 2024-Dec-22 06:15 |
avra-dev-1.4.2-r0.apk | 254.7 KiB | 2024-Oct-25 18:59 |
avra-1.4.2-r0.apk | 38.8 KiB | 2024-Oct-25 18:59 |
avida-0_git20190813-r1.apk | 1.9 MiB | 2024-Oct-25 18:59 |
avarice-doc-2.14-r4.apk | 9.4 KiB | 2024-Oct-25 18:59 |
avarice-2.14-r4.apk | 64.7 KiB | 2024-Oct-25 18:59 |
avara-0.7.1-r1.apk | 21.4 MiB | 2024-Nov-04 08:53 |
avahi2dns-openrc-0.0.1_git20240102-r2.apk | 1.8 KiB | 2024-Oct-25 18:59 |
avahi2dns-0.0.1_git20240102-r2.apk | 2.2 MiB | 2024-Oct-25 18:59 |
autotrash-pyc-0.4.7-r0.apk | 14.1 KiB | 2024-Oct-25 18:59 |
autotrash-0.4.7-r0.apk | 22.8 KiB | 2024-Oct-25 18:59 |
autoscan-openrc-1.4.0-r6.apk | 2.1 KiB | 2024-Oct-25 18:59 |
autoscan-1.4.0-r6.apk | 5.1 MiB | 2024-Oct-25 18:59 |
autorestic-1.8.3-r0.apk | 3.7 MiB | 2024-Oct-25 18:59 |
autoremove-torrents-pyc-1.5.5-r0.apk | 53.8 KiB | 2024-Oct-25 18:59 |
autoremove-torrents-doc-1.5.5-r0.apk | 11.6 KiB | 2024-Oct-25 18:59 |
autoremove-torrents-1.5.5-r0.apk | 35.4 KiB | 2024-Oct-25 18:59 |
autoconf-policy-0.1-r0.apk | 5.5 KiB | 2024-Oct-25 18:59 |
authenticator-rs-lang-0.7.5-r0.apk | 3.7 KiB | 2024-Oct-25 18:59 |
authenticator-rs-0.7.5-r0.apk | 1.9 MiB | 2024-Oct-25 18:59 |
aufs-util-doc-20161219-r3.apk | 33.9 KiB | 2024-Oct-25 18:59 |
aufs-util-dev-20161219-r3.apk | 1.5 KiB | 2024-Oct-25 18:59 |
aufs-util-20161219-r3.apk | 175.6 KiB | 2024-Oct-25 18:59 |
ats2-0.4.2-r0.apk | 1.7 MiB | 2024-Oct-25 18:59 |
atool-doc-0.39.0-r4.apk | 9.6 KiB | 2024-Oct-25 18:59 |
atool-bash-completion-0.39.0-r4.apk | 2.0 KiB | 2024-Oct-25 18:59 |
atool-0.39.0-r4.apk | 17.7 KiB | 2024-Oct-25 18:59 |
atomicparsley-20240608-r0.apk | 107.6 KiB | 2024-Oct-25 18:59 |
atlantik-lang-3.5.10_git20240323-r0.apk | 68.6 KiB | 2024-Oct-25 18:59 |
atlantik-doc-3.5.10_git20240323-r0.apk | 79.3 KiB | 2024-Oct-25 18:59 |
atlantik-3.5.10_git20240323-r0.apk | 378.6 KiB | 2024-Oct-25 18:59 |
atari800-doc-5.2.0-r0.apk | 56.3 KiB | 2024-Oct-25 18:59 |
atari800-5.2.0-r0.apk | 338.9 KiB | 2024-Oct-25 18:59 |
atac-0.18.1-r0.apk | 4.9 MiB | 2024-Nov-25 21:56 |
asymptote-doc-2.91-r0.apk | 2.8 MiB | 2024-Oct-25 18:59 |
asymptote-2.91-r0.apk | 1.4 MiB | 2024-Oct-25 18:59 |
aspnetcore6-targeting-pack-6.0.36-r1.apk | 2.0 MiB | 2024-Nov-25 04:49 |
aspnetcore6-runtime-6.0.36-r1.apk | 8.7 MiB | 2024-Nov-25 04:49 |
aspell-es-1.11-r0.apk | 533.0 KiB | 2024-Oct-25 18:59 |
asciinema-rs-0.5.1-r3.apk | 1.1 MiB | 2024-Oct-25 18:59 |
art_standalone-dev-0_git20241102-r0.apk | 8.6 MiB | 2024-Nov-06 10:17 |
art_standalone-dbg-0_git20241102-r0.apk | 138.7 MiB | 2024-Nov-06 10:17 |
art_standalone-0_git20241102-r0.apk | 18.4 MiB | 2024-Nov-06 10:17 |
armagetronad-doc-0.2.9.1.1-r0.apk | 92.0 KiB | 2024-Oct-25 18:59 |
armagetronad-0.2.9.1.1-r0.apk | 1.5 MiB | 2024-Oct-25 18:59 |
arj-doc-0_git20220125-r1.apk | 10.5 KiB | 2024-Oct-25 18:59 |
arj-0_git20220125-r1.apk | 126.6 KiB | 2024-Oct-25 18:59 |
argocd-zsh-completion-2.13.1-r0.apk | 4.0 KiB | 2024-Dec-12 04:50 |
argocd-doc-2.13.1-r0.apk | 5.5 KiB | 2024-Dec-12 04:50 |
argocd-bash-completion-2.13.1-r0.apk | 20.3 KiB | 2024-Dec-12 04:50 |
argocd-2.13.1-r0.apk | 38.7 MiB | 2024-Dec-12 04:50 |
arcticons-icon-theme-light-9.6.5.0-r0.apk | 423.4 KiB | 2024-Oct-25 18:59 |
arcticons-icon-theme-dark-9.6.5.0-r0.apk | 423.5 KiB | 2024-Oct-25 18:59 |
arcticons-icon-theme-9.6.5.0-r0.apk | 1.4 KiB | 2024-Oct-25 18:59 |
arc-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-25 18:59 |
arc-theme-20221218-r0.apk | 1.4 KiB | 2024-Oct-25 18:59 |
arc-metacity-20221218-r0.apk | 17.2 KiB | 2024-Oct-25 18:59 |
arc-lighter-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-25 18:59 |
arc-lighter-metacity-20221218-r0.apk | 17.3 KiB | 2024-Oct-25 18:59 |
arc-lighter-gtk4-20221218-r0.apk | 112.8 KiB | 2024-Oct-25 18:59 |
arc-lighter-gtk3-20221218-r0.apk | 124.6 KiB | 2024-Oct-25 18:59 |
arc-lighter-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-25 18:59 |
arc-lighter-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 18:59 |
arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2024-Oct-25 18:59 |
arc-gtk4-20221218-r0.apk | 113.5 KiB | 2024-Oct-25 18:59 |
arc-gtk3-20221218-r0.apk | 125.9 KiB | 2024-Oct-25 18:59 |
arc-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-25 18:59 |
arc-gnome-20221218-r0.apk | 28.6 KiB | 2024-Oct-25 18:59 |
arc-darker-xfwm-20221218-r0.apk | 7.9 KiB | 2024-Oct-25 18:59 |
arc-darker-metacity-20221218-r0.apk | 17.5 KiB | 2024-Oct-25 18:59 |
arc-darker-gtk4-20221218-r0.apk | 110.2 KiB | 2024-Oct-25 18:59 |
arc-darker-gtk3-20221218-r0.apk | 123.7 KiB | 2024-Oct-25 18:59 |
arc-darker-gtk2-20221218-r0.apk | 38.5 KiB | 2024-Oct-25 18:59 |
arc-darker-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 18:59 |
arc-dark-xfwm-20221218-r0.apk | 7.9 KiB | 2024-Oct-25 18:59 |
arc-dark-metacity-20221218-r0.apk | 17.5 KiB | 2024-Oct-25 18:59 |
arc-dark-gtk4-20221218-r0.apk | 86.2 KiB | 2024-Oct-25 18:59 |
arc-dark-gtk3-20221218-r0.apk | 93.3 KiB | 2024-Oct-25 18:59 |
arc-dark-gtk2-20221218-r0.apk | 38.4 KiB | 2024-Oct-25 18:59 |
arc-dark-gnome-20221218-r0.apk | 27.1 KiB | 2024-Oct-25 18:59 |
arc-dark-cinnamon-20221218-r0.apk | 68.4 KiB | 2024-Oct-25 18:59 |
arc-dark-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 18:59 |
arc-cinnamon-20221218-r0.apk | 68.0 KiB | 2024-Oct-25 18:59 |
arc-20221218-r0.apk | 1.7 KiB | 2024-Oct-25 18:59 |
aravis-viewer-lang-0.8.31-r0.apk | 16.0 KiB | 2024-Oct-25 18:59 |
aravis-viewer-0.8.31-r0.apk | 65.6 KiB | 2024-Oct-25 18:59 |
aravis-libs-0.8.31-r0.apk | 175.1 KiB | 2024-Oct-25 18:59 |
aravis-dev-0.8.31-r0.apk | 34.3 KiB | 2024-Oct-25 18:59 |
aravis-0.8.31-r0.apk | 43.5 KiB | 2024-Oct-25 18:59 |
aqemu-doc-0.9.4-r3.apk | 7.5 KiB | 2024-Oct-25 18:59 |
aqemu-0.9.4-r3.apk | 1.6 MiB | 2024-Oct-25 18:59 |
apx-doc-2.4.4-r1.apk | 2.3 KiB | 2024-Oct-28 13:15 |
apx-2.4.4-r1.apk | 3.3 MiB | 2024-Oct-28 13:15 |
apulse-doc-0.1.13-r2.apk | 2.8 KiB | 2024-Oct-25 18:59 |
apulse-0.1.13-r2.apk | 40.1 KiB | 2024-Oct-25 18:59 |
aptdec-libs-1.8.0-r0.apk | 15.0 KiB | 2024-Oct-25 18:59 |
aptdec-dev-1.8.0-r0.apk | 3.5 KiB | 2024-Oct-25 18:59 |
aptdec-1.8.0-r0.apk | 86.2 KiB | 2024-Oct-25 18:59 |
apt-mirror-doc-0.5.4-r0.apk | 4.6 KiB | 2024-Oct-25 18:59 |
apt-mirror-0.5.4-r0.apk | 9.4 KiB | 2024-Oct-25 18:59 |
apt-dater-lang-1.0.4-r4.apk | 13.1 KiB | 2024-Oct-25 18:59 |
apt-dater-doc-1.0.4-r4.apk | 9.9 KiB | 2024-Oct-25 18:59 |
apt-dater-1.0.4-r4.apk | 57.0 KiB | 2024-Oct-25 18:59 |
aprilsh-server-0.7.12-r0.apk | 2.3 MiB | 2024-Oct-25 18:59 |
aprilsh-openrc-0.7.12-r0.apk | 1.8 KiB | 2024-Oct-25 18:59 |
aprilsh-doc-0.7.12-r0.apk | 14.7 KiB | 2024-Oct-25 18:59 |
aprilsh-client-0.7.12-r0.apk | 3.1 MiB | 2024-Oct-25 18:59 |
aprilsh-0.7.12-r0.apk | 1.6 KiB | 2024-Oct-25 18:59 |
appcenter-lang-8.0.0-r0.apk | 258.3 KiB | 2024-Nov-12 21:55 |
appcenter-8.0.0-r0.apk | 406.3 KiB | 2024-Nov-12 21:55 |
apostrophe-revealjs-5.1.0-r0.apk | 2.4 MiB | 2024-Oct-25 18:59 |
apostrophe-pyc-3.2-r0.apk | 134.3 KiB | 2024-Oct-25 18:59 |
apostrophe-lang-3.2-r0.apk | 197.8 KiB | 2024-Oct-25 18:59 |
apostrophe-3.2-r0.apk | 161.6 KiB | 2024-Oct-25 18:59 |
aports-glmr-0.2-r23.apk | 2.4 MiB | 2024-Oct-25 18:59 |
apmpkg-zsh-completion-1.5.1-r3.apk | 2.4 KiB | 2024-Oct-25 18:59 |
apmpkg-fish-completion-1.5.1-r3.apk | 2.1 KiB | 2024-Oct-25 18:59 |
apmpkg-doc-1.5.1-r3.apk | 3.0 KiB | 2024-Oct-25 18:59 |
apmpkg-bash-completion-1.5.1-r3.apk | 2.2 KiB | 2024-Oct-25 18:59 |
apmpkg-1.5.1-r3.apk | 1.6 MiB | 2024-Oct-25 18:59 |
apk-tools3-static-3.0.0_pre3_git20241029-r0.apk | 2.2 MiB | 2024-Oct-30 05:03 |
apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk | 113.8 KiB | 2024-Oct-30 05:03 |
apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk | 37.6 KiB | 2024-Oct-30 05:03 |
apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk | 22.3 KiB | 2024-Oct-30 05:03 |
apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk | 562.0 KiB | 2024-Oct-30 05:03 |
apk-tools3-3.0.0_pre3_git20241029-r0.apk | 48.0 KiB | 2024-Oct-30 05:03 |
apk-snap-doc-3.1.1-r0.apk | 19.9 KiB | 2024-Oct-25 18:59 |
apk-snap-3.1.1-r0.apk | 6.6 KiB | 2024-Oct-25 18:59 |
apk-readme-0.1-r1.apk | 1.2 KiB | 2024-Oct-25 18:59 |
apk-autoupdate-doc-0_git20210421-r1.apk | 7.0 KiB | 2024-Nov-17 12:51 |
apk-autoupdate-0_git20210421-r1.apk | 13.1 KiB | 2024-Nov-17 12:51 |
apache2-mod-realdoc-1-r1.apk | 4.6 KiB | 2024-Oct-25 18:59 |
apache2-mod-perl-doc-2.0.13-r1.apk | 302.8 KiB | 2024-Oct-25 18:59 |
apache2-mod-perl-dev-2.0.13-r1.apk | 39.8 KiB | 2024-Oct-25 18:59 |
apache2-mod-perl-dbg-2.0.13-r1.apk | 63.2 KiB | 2024-Oct-25 18:59 |
apache2-mod-perl-2.0.13-r1.apk | 665.3 KiB | 2024-Oct-25 18:59 |
apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.0 KiB | 2024-Oct-25 18:59 |
apache2-mod-authnz-external-3.3.3-r0.apk | 8.2 KiB | 2024-Oct-25 18:59 |
apache-mod-auth-openidc-static-2.4.16.4-r0.apk | 280.1 KiB | 2024-Oct-25 18:59 |
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk | 4.8 KiB | 2024-Oct-25 18:59 |
apache-mod-auth-openidc-2.4.16.4-r0.apk | 215.8 KiB | 2024-Oct-25 18:59 |
apache-mod-auth-gssapi-1.6.5-r1.apk | 59.8 KiB | 2024-Oct-25 18:59 |
antimicrox-doc-3.4.0-r0.apk | 23.1 KiB | 2024-Oct-25 18:59 |
antimicrox-3.4.0-r0.apk | 1.6 MiB | 2024-Oct-25 18:59 |
antibody-6.1.1-r23.apk | 1.7 MiB | 2024-Oct-25 18:59 |
ansiweather-doc-1.19.0-r1.apk | 3.0 KiB | 2024-Oct-25 18:59 |
ansiweather-1.19.0-r1.apk | 4.7 KiB | 2024-Oct-25 18:59 |
ansible-bender-pyc-0.10.1-r2.apk | 65.0 KiB | 2024-Oct-25 18:59 |
ansible-bender-doc-0.10.1-r2.apk | 10.1 KiB | 2024-Oct-25 18:59 |
ansible-bender-0.10.1-r2.apk | 36.3 KiB | 2024-Oct-25 18:59 |
anki-pyc-24.06.2-r0.apk | 1.2 MiB | 2024-Oct-25 18:59 |
anki-24.06.2-r0.apk | 11.1 MiB | 2024-Oct-25 18:59 |
angband-4.2.5-r0.apk | 22.6 MiB | 2024-Oct-25 18:59 |
android-translation-layer-dbg-0_git20241103-r0.apk | 730.7 KiB | 2024-Nov-06 10:17 |
android-translation-layer-0_git20241103-r0.apk | 4.7 MiB | 2024-Nov-06 10:17 |
android-file-transfer-libs-4.3-r0.apk | 124.3 KiB | 2024-Oct-25 18:59 |
android-file-transfer-dev-4.3-r0.apk | 1.5 KiB | 2024-Oct-25 18:59 |
android-file-transfer-cli-4.3-r0.apk | 108.3 KiB | 2024-Oct-25 18:59 |
android-file-transfer-4.3-r0.apk | 182.7 KiB | 2024-Oct-25 18:59 |
android-apktool-2.10.0-r0.apk | 23.0 MiB | 2024-Oct-25 18:59 |
android-apkeep-0.17.0-r0.apk | 2.0 MiB | 2024-Oct-25 18:59 |
anari-sdk-static-0.7.2-r0.apk | 180.7 KiB | 2024-Oct-25 18:59 |
anari-sdk-dev-0.7.2-r0.apk | 58.6 KiB | 2024-Oct-25 18:59 |
anari-sdk-0.7.2-r0.apk | 288.6 KiB | 2024-Oct-25 18:59 |
anarch-doc-1.0-r1.apk | 18.0 KiB | 2024-Oct-25 18:59 |
anarch-1.0-r1.apk | 91.8 KiB | 2024-Oct-25 18:59 |
amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-Oct-25 18:59 |
amule-doc-2.3.3-r13.apk | 281.4 KiB | 2024-Oct-25 18:59 |
amule-2.3.3-r13.apk | 3.9 MiB | 2024-Oct-25 18:59 |
ampy-pyc-1.1.0-r5.apk | 19.6 KiB | 2024-Oct-25 18:59 |
ampy-doc-1.1.0-r5.apk | 3.4 KiB | 2024-Oct-25 18:59 |
ampy-1.1.0-r5.apk | 15.8 KiB | 2024-Oct-25 18:59 |
amiitool-2-r2.apk | 7.8 KiB | 2024-Oct-25 18:59 |
amdgpu-fan-pyc-0.1.0-r5.apk | 9.6 KiB | 2024-Oct-25 18:59 |
amdgpu-fan-0.1.0-r5.apk | 14.0 KiB | 2024-Oct-25 18:59 |
amber-0.3.3-r0.apk | 413.6 KiB | 2024-Oct-25 18:59 |
alttab-doc-1.7.1-r0.apk | 10.3 KiB | 2024-Oct-25 18:59 |
alttab-1.7.1-r0.apk | 36.7 KiB | 2024-Oct-25 18:59 |
alps-openrc-0_git20230807-r7.apk | 2.0 KiB | 2024-Nov-04 20:01 |
alps-0_git20230807-r7.apk | 5.5 MiB | 2024-Nov-04 20:01 |
alpine-lift-0.2.0-r18.apk | 3.4 MiB | 2024-Oct-25 18:59 |
alloy-openrc-1.5.1-r0.apk | 1.9 KiB | 2024-Dec-12 04:50 |
alloy-1.5.1-r0.apk | 83.6 MiB | 2024-Dec-12 04:50 |
alda-2.3.1-r0.apk | 17.5 MiB | 2024-Oct-25 18:59 |
alarmwakeup-utils-0.2.1-r0.apk | 4.0 KiB | 2024-Oct-25 18:59 |
alarmwakeup-libs-0.2.1-r0.apk | 4.5 KiB | 2024-Oct-25 18:59 |
alarmwakeup-dev-0.2.1-r0.apk | 2.6 KiB | 2024-Oct-25 18:59 |
alarmwakeup-dbg-0.2.1-r0.apk | 17.8 KiB | 2024-Oct-25 18:59 |
alarmwakeup-0.2.1-r0.apk | 6.4 KiB | 2024-Oct-25 18:59 |
airsonic-advanced-openrc-11.0.0_git20230217-r0.apk | 1.8 KiB | 2024-Oct-25 18:59 |
airsonic-advanced-11.0.0_git20230217-r0.apk | 91.3 MiB | 2024-Oct-25 18:59 |
aide-doc-0.18.8-r0.apk | 13.8 KiB | 2024-Oct-25 18:59 |
aide-0.18.8-r0.apk | 79.2 KiB | 2024-Oct-25 18:59 |
agrep-doc-0.8.0-r2.apk | 4.1 KiB | 2024-Oct-25 18:59 |
agrep-0.8.0-r2.apk | 8.3 KiB | 2024-Oct-25 18:59 |
agate-openrc-3.3.8-r0.apk | 2.0 KiB | 2024-Oct-25 18:59 |
agate-3.3.8-r0.apk | 915.5 KiB | 2024-Oct-25 18:59 |
afetch-doc-2.2.0-r1.apk | 13.6 KiB | 2024-Oct-25 18:59 |
afetch-2.2.0-r1.apk | 9.0 KiB | 2024-Oct-25 18:59 |
aero2solver-openrc-1.1.0-r0.apk | 2.1 KiB | 2024-Oct-25 18:59 |
aero2solver-doc-1.1.0-r0.apk | 2.2 KiB | 2024-Oct-25 18:59 |
aero2solver-1.1.0-r0.apk | 22.1 MiB | 2024-Oct-25 18:59 |
advancescan-doc-1.18-r1.apk | 7.3 KiB | 2024-Oct-25 18:59 |
advancescan-1.18-r1.apk | 268.1 KiB | 2024-Oct-25 18:59 |
advancemame-mess-3.9-r4.apk | 3.9 MiB | 2024-Oct-25 18:59 |
advancemame-menu-3.9-r4.apk | 903.5 KiB | 2024-Oct-25 18:59 |
advancemame-doc-3.9-r4.apk | 373.6 KiB | 2024-Oct-25 18:59 |
advancemame-data-3.9-r4.apk | 5.8 MiB | 2024-Oct-25 18:59 |
advancemame-3.9-r4.apk | 12.5 MiB | 2024-Oct-25 18:59 |
admesh-doc-0.98.5-r0.apk | 23.4 KiB | 2024-Oct-25 18:59 |
admesh-dev-0.98.5-r0.apk | 4.0 KiB | 2024-Oct-25 18:59 |
admesh-0.98.5-r0.apk | 26.0 KiB | 2024-Oct-25 18:59 |
adjtimex-doc-1.29-r0.apk | 7.1 KiB | 2024-Oct-25 18:59 |
adjtimex-1.29-r0.apk | 19.0 KiB | 2024-Oct-25 18:59 |
adguardhome-openrc-0.107.55-r0.apk | 2.1 KiB | 2024-Dec-12 19:35 |
adguardhome-0.107.55-r0.apk | 10.7 MiB | 2024-Dec-12 19:35 |
act-0.2.70-r0.apk | 7.5 MiB | 2024-Dec-02 03:32 |
acmetool-doc-0.2.2-r8.apk | 47.3 KiB | 2024-Oct-25 18:59 |
acmetool-0.2.2-r8.apk | 4.3 MiB | 2024-Oct-25 18:59 |
ace-of-penguins-doc-1.4-r3.apk | 48.6 KiB | 2024-Oct-25 18:59 |
ace-of-penguins-1.4-r3.apk | 158.7 KiB | 2024-Oct-25 18:59 |
abc-0_git20240102-r0.apk | 4.9 MiB | 2024-Oct-25 18:59 |
ab-tidy-0.1.0-r1.apk | 80.2 KiB | 2024-Nov-29 00:08 |
a2jmidid-doc-9-r3.apk | 4.2 KiB | 2024-Oct-25 18:59 |
a2jmidid-9-r3.apk | 29.2 KiB | 2024-Oct-25 18:59 |
APKINDEX.tar.gz | 962.1 KiB | 2024-Dec-22 07:01 |
9base-troff-6-r2.apk | 484.5 KiB | 2024-Oct-25 18:59 |
9base-doc-6-r2.apk | 63.7 KiB | 2024-Oct-25 18:59 |
9base-6-r2.apk | 1.8 MiB | 2024-Oct-25 18:59 |
3proxy-openrc-0.9.4-r1.apk | 1.7 KiB | 2024-Oct-25 18:59 |
3proxy-doc-0.9.4-r1.apk | 24.9 KiB | 2024-Oct-25 18:59 |
3proxy-0.9.4-r1.apk | 342.8 KiB | 2024-Oct-25 18:59 |