| Parent directory/ | - | - |
| zycore-doc-1.5.0-r1.apk | 432.4 KiB | 2025-Jul-16 12:26 |
| zycore-dev-1.5.0-r1.apk | 37.1 KiB | 2025-Jul-16 12:26 |
| zycore-1.5.0-r1.apk | 20.2 KiB | 2025-Jul-16 12:26 |
| zvbi-doc-0.2.44-r0.apk | 20.8 KiB | 2025-Mar-11 22:14 |
| zvbi-0.2.44-r0.apk | 182.0 KiB | 2025-Mar-11 22:14 |
| zutty-doc-0.16-r0.apk | 66.3 KiB | 2025-Jan-12 21:23 |
| zutty-0.16-r0.apk | 155.1 KiB | 2025-Jan-12 21:23 |
| zsh-manydots-magic-0_git20230607-r1.apk | 2.6 KiB | 2023-Aug-19 19:23 |
| zrepl-zsh-completion-0.6.1-r16.apk | 1.7 KiB | 2025-Dec-24 10:54 |
| zrepl-openrc-0.6.1-r16.apk | 1.5 KiB | 2025-Dec-24 10:54 |
| zrepl-bash-completion-0.6.1-r16.apk | 4.2 KiB | 2025-Dec-24 10:54 |
| zrepl-0.6.1-r16.apk | 6.6 MiB | 2025-Dec-24 10:54 |
| zpaq-doc-7.15-r0.apk | 16.0 KiB | 2025-Oct-15 12:16 |
| zpaq-7.15-r0.apk | 171.8 KiB | 2025-Oct-15 12:16 |
| zita-resampler-doc-1.11.2-r0.apk | 3.8 KiB | 2025-Apr-15 14:08 |
| zita-resampler-dev-1.11.2-r0.apk | 3.0 KiB | 2025-Apr-15 14:08 |
| zita-resampler-1.11.2-r0.apk | 18.4 KiB | 2025-Apr-15 14:08 |
| zita-njbridge-doc-0.4.8-r1.apk | 5.0 KiB | 2022-Oct-25 13:04 |
| zita-njbridge-0.4.8-r1.apk | 25.8 KiB | 2022-Oct-25 13:04 |
| zile-doc-2.6.2-r1.apk | 15.5 KiB | 2024-Sep-29 22:44 |
| zile-2.6.2-r1.apk | 118.3 KiB | 2024-Sep-29 22:44 |
| zfs-src-2.4.0-r0.apk | 33.0 MiB | 2025-Dec-24 10:54 |
| zarchive-libs-0.1.2-r2.apk | 23.7 KiB | 2023-Aug-19 19:23 |
| zarchive-dev-0.1.2-r2.apk | 6.5 KiB | 2023-Aug-19 19:23 |
| zarchive-0.1.2-r2.apk | 14.6 KiB | 2023-Aug-19 19:23 |
| zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2023-Feb-05 03:41 |
| z-doc-1.12-r0.apk | 3.7 KiB | 2023-Dec-14 16:55 |
| z-1.12-r0.apk | 4.3 KiB | 2023-Dec-14 16:55 |
| yubikey-agent-0.1.6-r17.apk | 1.9 MiB | 2025-Dec-24 10:54 |
| ytt-0.52.1-r2.apk | 4.5 MiB | 2025-Dec-24 10:54 |
| ytmdl-zsh-completion-2024.08.15.1-r1.apk | 1.9 KiB | 2025-May-15 20:20 |
| ytmdl-pyc-2024.08.15.1-r1.apk | 77.8 KiB | 2025-May-15 20:20 |
| ytmdl-bash-completion-2024.08.15.1-r1.apk | 2.0 KiB | 2025-May-15 20:20 |
| ytmdl-2024.08.15.1-r1.apk | 49.9 KiB | 2025-May-15 20:20 |
| youtube-viewer-gtk-3.11.6-r0.apk | 171.3 KiB | 2025-Jun-09 05:05 |
| youtube-viewer-doc-3.11.6-r0.apk | 40.4 KiB | 2025-Jun-09 05:05 |
| youtube-viewer-3.11.6-r0.apk | 83.6 KiB | 2025-Jun-09 05:05 |
| yosys-dev-0.57-r0.apk | 277.0 KiB | 2025-Oct-26 23:29 |
| yosys-0.57-r0.apk | 23.3 MiB | 2025-Oct-26 23:29 |
| yoshimi-doc-2.3.3.3-r0.apk | 4.5 MiB | 2025-Mar-14 22:16 |
| yoshimi-2.3.3.3-r0.apk | 5.8 MiB | 2025-Mar-14 22:16 |
| yices2-libs-2.6.5-r0.apk | 845.5 KiB | 2025-Mar-03 01:53 |
| yices2-dev-2.6.5-r0.apk | 41.1 KiB | 2025-Mar-03 01:53 |
| yices2-2.6.5-r0.apk | 2.2 MiB | 2025-Mar-03 01:53 |
| ydcv-zsh-completion-0.7-r8.apk | 1.9 KiB | 2024-Sep-02 20:36 |
| ydcv-pyc-0.7-r8.apk | 10.3 KiB | 2024-Sep-02 20:36 |
| ydcv-0.7-r8.apk | 19.8 KiB | 2024-Sep-02 20:36 |
| yaru-theme-viridian-25.10.1-r0.apk | 760.0 KiB | 2025-Jul-16 12:26 |
| yaru-theme-sage-25.10.1-r0.apk | 762.9 KiB | 2025-Jul-16 12:26 |
| yaru-theme-red-25.10.1-r0.apk | 759.6 KiB | 2025-Jul-16 12:26 |
| yaru-theme-purple-25.10.1-r0.apk | 756.7 KiB | 2025-Jul-16 12:26 |
| yaru-theme-prussiangreen-25.10.1-r0.apk | 759.5 KiB | 2025-Jul-16 12:26 |
| yaru-theme-olive-25.10.1-r0.apk | 759.0 KiB | 2025-Jul-16 12:26 |
| yaru-theme-mate-25.10.1-r0.apk | 771.7 KiB | 2025-Jul-16 12:26 |
| yaru-theme-magenta-25.10.1-r0.apk | 761.6 KiB | 2025-Jul-16 12:26 |
| yaru-theme-hdpi-25.10.1-r0.apk | 73.0 KiB | 2025-Jul-16 12:26 |
| yaru-theme-blue-25.10.1-r0.apk | 765.8 KiB | 2025-Jul-16 12:26 |
| yaru-theme-bark-25.10.1-r0.apk | 762.5 KiB | 2025-Jul-16 12:26 |
| yaru-theme-25.10.1-r0.apk | 840.1 KiB | 2025-Jul-16 12:26 |
| yaru-sounds-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 12:26 |
| yaru-shell-25.10.1-r0.apk | 226.5 KiB | 2025-Jul-16 12:26 |
| yaru-schemas-25.10.1-r0.apk | 1.6 KiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-viridian-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-sage-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-red-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-purple-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-prussiangreen-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-olive-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-mate-25.10.1-r0.apk | 1.3 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-magenta-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-blue-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-bark-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-16 12:26 |
| yaru-icon-theme-25.10.1-r0.apk | 35.3 MiB | 2025-Jul-16 12:26 |
| yaru-common-25.10.1-r0.apk | 3.8 MiB | 2025-Jul-16 12:26 |
| yarn-berry-4.9.1-r0.apk | 1.0 MiB | 2025-Apr-15 22:05 |
| yamldiff-doc-0.3.0-r3.apk | 2.0 KiB | 2025-Dec-24 10:54 |
| yamldiff-0.3.0-r3.apk | 1.6 MiB | 2025-Dec-24 10:54 |
| yaml-language-server-doc-1.19.2-r0.apk | 2.0 KiB | 2025-Oct-15 12:16 |
| yaml-language-server-1.19.2-r0.apk | 665.8 KiB | 2025-Oct-15 12:16 |
| yamkix-pyc-0.14.0-r0.apk | 19.9 KiB | 2025-Dec-24 10:54 |
| yamkix-0.14.0-r0.apk | 17.1 KiB | 2025-Dec-24 10:54 |
| yaegi-0.16.1-r13.apk | 7.1 MiB | 2025-Dec-24 10:54 |
| xwayland-satellite-0.7-r0.apk | 998.4 KiB | 2025-Aug-30 22:21 |
| xvile-9.8z_p1-r2.apk | 804.3 KiB | 2025-Oct-06 14:28 |
| xvidtune-doc-1.0.4-r0.apk | 3.9 KiB | 2023-Feb-05 03:41 |
| xvidtune-1.0.4-r0.apk | 14.8 KiB | 2023-Feb-05 03:41 |
| xva-img-1.5-r0.apk | 16.1 KiB | 2024-Oct-02 06:03 |
| xtl-0.8.1-r0.apk | 89.5 KiB | 2025-Oct-27 13:57 |
| xtensor-0.27.0-r0.apk | 269.5 KiB | 2025-Aug-25 06:56 |
| xsoldier-doc-1.8-r2.apk | 2.4 KiB | 2024-Jul-12 03:34 |
| xsoldier-1.8-r2.apk | 69.0 KiB | 2024-Jul-12 03:34 |
| xsecurelock-doc-1.9.0-r1.apk | 17.5 KiB | 2024-Apr-30 01:07 |
| xsecurelock-1.9.0-r1.apk | 63.0 KiB | 2024-Apr-30 01:07 |
| xsane-lang-0.999-r2.apk | 440.1 KiB | 2024-Oct-01 05:24 |
| xsane-doc-0.999-r2.apk | 4.0 KiB | 2024-Oct-01 05:24 |
| xsane-0.999-r2.apk | 1.5 MiB | 2024-Oct-01 05:24 |
| xpar-doc-0.7-r0.apk | 4.1 KiB | 2025-Sep-28 04:37 |
| xpar-0.7-r0.apk | 26.6 KiB | 2025-Sep-28 04:37 |
| xosview-doc-1.24-r0.apk | 12.3 KiB | 2024-Mar-25 15:45 |
| xosview-1.24-r0.apk | 118.2 KiB | 2024-Mar-25 15:45 |
| xonsh-pyc-0.19.9-r0.apk | 1.0 MiB | 2025-Oct-31 13:44 |
| xonsh-0.19.9-r0.apk | 588.7 KiB | 2025-Oct-31 13:44 |
| xone-src-0.5.1-r0.apk | 57.4 KiB | 2025-Dec-24 10:54 |
| xmppipe-0.16.0-r1.apk | 16.1 KiB | 2024-Feb-01 20:59 |
| xmpp-dns-0.2.4-r29.apk | 1.9 MiB | 2025-Dec-24 10:54 |
| xmp-doc-4.2.0-r0.apk | 5.1 KiB | 2023-Aug-20 22:16 |
| xmp-4.2.0-r0.apk | 23.0 KiB | 2023-Aug-20 22:16 |
| xmoto-lang-0.6.3-r0.apk | 531.8 KiB | 2025-Oct-04 05:28 |
| xmoto-doc-0.6.3-r0.apk | 5.6 KiB | 2025-Oct-04 05:28 |
| xmoto-data-0.6.3-r0.apk | 36.7 MiB | 2025-Oct-04 05:28 |
| xmoto-0.6.3-r0.apk | 1.8 MiB | 2025-Oct-04 05:28 |
| xml2rfc-pyc-3.28.1-r3.apk | 407.2 KiB | 2025-Dec-24 10:54 |
| xml2rfc-3.28.1-r3.apk | 351.6 KiB | 2025-Dec-24 10:54 |
| xmag-doc-1.0.8-r0.apk | 4.5 KiB | 2024-Oct-13 07:33 |
| xmag-1.0.8-r0.apk | 16.2 KiB | 2024-Oct-13 07:33 |
| xload-doc-1.1.4-r0.apk | 3.2 KiB | 2022-May-22 15:03 |
| xload-1.1.4-r0.apk | 6.0 KiB | 2022-May-22 15:03 |
| xlhtml-doc-0.5.1-r0.apk | 2.2 KiB | 2024-Mar-30 17:39 |
| xlhtml-0.5.1-r0.apk | 11.0 KiB | 2024-Mar-30 17:39 |
| xkb-switch-doc-1.8.5-r1.apk | 1.9 KiB | 2025-May-15 20:20 |
| xkb-switch-1.8.5-r1.apk | 17.5 KiB | 2025-May-15 20:20 |
| xisxwayland-doc-2-r1.apk | 1.7 KiB | 2023-Jul-30 12:12 |
| xisxwayland-2-r1.apk | 3.7 KiB | 2023-Jul-30 12:12 |
| xiccd-doc-0.3.0_git20211219-r1.apk | 3.3 KiB | 2025-Jul-16 14:40 |
| xiccd-0.3.0_git20211219-r1.apk | 15.0 KiB | 2025-Jul-16 14:40 |
| xgalaga-doc-2.1.1.0-r1.apk | 2.3 KiB | 2022-Oct-25 13:04 |
| xgalaga-2.1.1.0-r1.apk | 282.5 KiB | 2022-Oct-25 13:04 |
| xfsdump-doc-3.2.0-r0.apk | 42.2 KiB | 2025-Aug-30 20:48 |
| xfsdump-3.2.0-r0.apk | 379.4 KiB | 2025-Aug-30 20:48 |
| xfd-doc-1.1.4-r0.apk | 4.7 KiB | 2022-Dec-04 11:40 |
| xfd-1.1.4-r0.apk | 11.3 KiB | 2022-Dec-04 11:40 |
| xfce4-panel-profiles-lang-1.1.1-r1.apk | 53.2 KiB | 2025-Nov-24 13:20 |
| xfce4-panel-profiles-doc-1.1.1-r1.apk | 19.7 KiB | 2025-Nov-24 13:20 |
| xfce4-panel-profiles-1.1.1-r1.apk | 57.3 KiB | 2025-Nov-24 13:20 |
| xfce4-mixer-lang-4.18.1-r2.apk | 58.5 KiB | 2023-Dec-14 16:55 |
| xfce4-mixer-doc-4.18.1-r2.apk | 2.2 KiB | 2023-Dec-14 16:55 |
| xfce4-mixer-4.18.1-r2.apk | 85.6 KiB | 2023-Dec-14 16:55 |
| xfce4-hamster-plugin-lang-1.17-r0.apk | 5.1 KiB | 2022-Mar-01 19:45 |
| xfce4-hamster-plugin-1.17-r0.apk | 30.1 KiB | 2022-Mar-01 19:45 |
| xendmail-doc-0.4.4-r0.apk | 2.3 KiB | 2025-Aug-06 12:14 |
| xendmail-0.4.4-r0.apk | 922.2 KiB | 2025-Aug-06 12:14 |
| xed-python-3.8.4-r0.apk | 24.2 KiB | 2025-Oct-26 23:29 |
| xed-lang-3.8.4-r0.apk | 2.1 MiB | 2025-Oct-26 23:29 |
| xed-doc-3.8.4-r0.apk | 970.6 KiB | 2025-Oct-26 23:29 |
| xed-dev-3.8.4-r0.apk | 13.4 KiB | 2025-Oct-26 23:29 |
| xed-3.8.4-r0.apk | 1.1 MiB | 2025-Oct-26 23:29 |
| xdg-ninja-0.2.0.2-r0.apk | 70.2 KiB | 2024-Feb-05 06:17 |
| xdg-native-messaging-proxy-systemd-0.1.0-r0.apk | 1.5 KiB | 2025-Nov-27 20:09 |
| xdg-native-messaging-proxy-0.1.0-r0.apk | 21.8 KiB | 2025-Nov-27 20:09 |
| xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk | 2.2 KiB | 2025-Dec-24 10:54 |
| xdg-desktop-portal-hyprland-1.3.11-r0.apk | 306.5 KiB | 2025-Dec-24 10:54 |
| xcompmgr-doc-1.1.10-r0.apk | 2.3 KiB | 2025-Jun-28 11:32 |
| xcompmgr-1.1.10-r0.apk | 13.6 KiB | 2025-Jun-28 11:32 |
| xcape-doc-1.2-r1.apk | 2.8 KiB | 2025-May-15 20:20 |
| xcape-1.2-r1.apk | 6.3 KiB | 2025-May-15 20:20 |
| xa-doc-2.4.1-r0.apk | 16.9 KiB | 2025-Feb-25 13:02 |
| xa-2.4.1-r0.apk | 71.7 KiB | 2025-Feb-25 13:02 |
| x11docker-doc-7.6.0-r1.apk | 9.1 KiB | 2023-Dec-19 21:12 |
| x11docker-7.6.0-r1.apk | 113.2 KiB | 2023-Dec-19 21:12 |
| wtfutil-0.43.0-r18.apk | 19.1 MiB | 2025-Dec-24 10:54 |
| wsmancli-doc-2.8.0-r0.apk | 3.4 KiB | 2025-Jul-16 12:26 |
| wsmancli-2.8.0-r0.apk | 16.9 KiB | 2025-Jul-16 12:26 |
| wshowkeys-1.0-r0.apk | 12.1 KiB | 2022-Mar-01 19:45 |
| wput-doc-0.6.2-r4.apk | 8.0 KiB | 2022-Oct-14 19:59 |
| wput-0.6.2-r4.apk | 34.5 KiB | 2022-Oct-14 19:59 |
| wpa_actiond-openrc-1.4-r7.apk | 2.0 KiB | 2022-Oct-25 13:04 |
| wpa_actiond-1.4-r7.apk | 8.4 KiB | 2022-Oct-25 13:04 |
| wolfssh-dev-1.4.17-r1.apk | 297.8 KiB | 2025-Sep-26 04:02 |
| wolfssh-1.4.17-r1.apk | 136.4 KiB | 2025-Sep-26 04:02 |
| wol-lang-0.7.1-r3.apk | 7.9 KiB | 2024-Sep-30 21:52 |
| wol-doc-0.7.1-r3.apk | 5.3 KiB | 2024-Sep-30 21:52 |
| wol-0.7.1-r3.apk | 25.2 KiB | 2024-Sep-30 21:52 |
| wmi-client-1.3.16-r5.apk | 2.4 MiB | 2024-Sep-30 19:13 |
| wmctrl-doc-1.07-r1.apk | 5.1 KiB | 2022-Mar-01 19:45 |
| wmctrl-1.07-r1.apk | 12.4 KiB | 2022-Mar-01 19:45 |
| wlroots0.18-static-0.18.3-r0.apk | 8.8 MiB | 2025-Nov-29 12:12 |
| wlroots0.18-dev-0.18.3-r0.apk | 82.4 KiB | 2025-Nov-29 12:12 |
| wlroots0.18-dbg-0.18.3-r0.apk | 1.6 MiB | 2025-Nov-29 12:12 |
| wlroots0.18-0.18.3-r0.apk | 385.8 KiB | 2025-Nov-29 12:12 |
| wlroots0.17-dev-0.17.4-r3.apk | 77.2 KiB | 2025-Aug-13 01:46 |
| wlroots0.17-dbg-0.17.4-r3.apk | 1.5 MiB | 2025-Aug-13 01:46 |
| wlroots0.17-0.17.4-r3.apk | 375.1 KiB | 2025-Aug-13 01:46 |
| wlr-sunclock-1.2.1-r0.apk | 42.3 KiB | 2025-Dec-24 10:54 |
| wlclock-doc-1.0.1-r0.apk | 3.3 KiB | 2021-Dec-31 00:27 |
| wlclock-1.0.1-r0.apk | 13.8 KiB | 2021-Dec-31 00:27 |
| wlavu-0_git20201101-r1.apk | 10.4 KiB | 2022-Oct-25 13:04 |
| wl-screenrec-zsh-completion-0.1.7-r1.apk | 3.4 KiB | 2025-Aug-28 04:28 |
| wl-screenrec-fish-completion-0.1.7-r1.apk | 3.0 KiB | 2025-Aug-28 04:28 |
| wl-screenrec-doc-0.1.7-r1.apk | 9.2 KiB | 2025-Aug-28 04:28 |
| wl-screenrec-bash-completion-0.1.7-r1.apk | 2.2 KiB | 2025-Aug-28 04:28 |
| wl-screenrec-0.1.7-r1.apk | 554.6 KiB | 2025-Aug-28 04:28 |
| wl-gammarelay-0.1.3-r4.apk | 1.6 MiB | 2025-Dec-24 10:54 |
| wl-clipboard-x11-doc-5-r3.apk | 2.7 KiB | 2022-Oct-25 13:04 |
| wl-clipboard-x11-5-r3.apk | 3.2 KiB | 2022-Oct-25 13:04 |
| wl-clip-persist-0.5.0-r0.apk | 950.3 KiB | 2025-Oct-27 06:08 |
| witchery-0.0.3-r2.apk | 2.9 KiB | 2022-Oct-07 06:51 |
| wiringx-dev-0_git20240317-r2.apk | 172.6 KiB | 2025-Mar-03 16:07 |
| wiringx-0_git20240317-r2.apk | 57.8 KiB | 2025-Mar-03 16:07 |
| wiremix-doc-0.7.0-r0.apk | 9.1 KiB | 2025-Aug-22 18:13 |
| wiremix-0.7.0-r0.apk | 813.1 KiB | 2025-Aug-22 18:13 |
| wiremapper-0.10.0-r0.apk | 21.7 KiB | 2022-Oct-07 06:51 |
| wiki-tui-doc-0.9.1-r0.apk | 4.3 KiB | 2025-Nov-03 10:01 |
| wiki-tui-0.9.1-r0.apk | 2.2 MiB | 2025-Nov-03 10:01 |
| whipper-pyc-0.10.0-r5.apk | 184.8 KiB | 2024-Apr-30 01:07 |
| whipper-0.10.0-r5.apk | 112.7 KiB | 2024-Apr-30 01:07 |
| wgcf-zsh-completion-2.2.29-r1.apk | 3.8 KiB | 2025-Dec-24 10:54 |
| wgcf-fish-completion-2.2.29-r1.apk | 4.1 KiB | 2025-Dec-24 10:54 |
| wgcf-bash-completion-2.2.29-r1.apk | 5.8 KiB | 2025-Dec-24 10:54 |
| wgcf-2.2.29-r1.apk | 4.5 MiB | 2025-Dec-24 10:54 |
| wf-shell-doc-0.9.0-r0.apk | 2.8 KiB | 2025-Feb-27 22:24 |
| wf-shell-dev-0.9.0-r0.apk | 1.4 KiB | 2025-Feb-27 22:24 |
| wf-shell-0.9.0-r0.apk | 6.1 MiB | 2025-Feb-27 22:24 |
| wf-config-dev-0.9.0-r0.apk | 16.0 KiB | 2025-Feb-27 22:24 |
| wf-config-0.9.0-r0.apk | 109.7 KiB | 2025-Feb-27 22:24 |
| welle-io-doc-2.7-r0.apk | 3.7 KiB | 2025-Apr-08 06:46 |
| welle-io-2.7-r0.apk | 400.0 KiB | 2025-Apr-08 06:46 |
| welle-cli-2.7-r0.apk | 303.7 KiB | 2025-Apr-08 06:46 |
| webtunnel-0.0.2-r6.apk | 3.6 MiB | 2025-Dec-24 10:54 |
| webhookd-openrc-1.20.2-r5.apk | 2.0 KiB | 2025-Dec-24 10:54 |
| webhookd-doc-1.20.2-r5.apk | 2.0 KiB | 2025-Dec-24 10:54 |
| webhookd-1.20.2-r5.apk | 3.2 MiB | 2025-Dec-24 10:54 |
| wcm-0.9.0-r0.apk | 369.0 KiB | 2025-Feb-27 22:24 |
| wch-isp-udev-rules-0.4.1-r2.apk | 1.4 KiB | 2024-Sep-30 12:42 |
| wch-isp-doc-0.4.1-r2.apk | 2.4 KiB | 2024-Sep-30 12:42 |
| wch-isp-0.4.1-r2.apk | 10.0 KiB | 2024-Sep-30 12:42 |
| wbg-1.3.0-r1.apk | 40.8 KiB | 2025-Nov-01 14:51 |
| wayqt-dev-0.3.0-r1.apk | 18.1 KiB | 2025-Aug-21 08:37 |
| wayqt-0.3.0-r1.apk | 138.5 KiB | 2025-Aug-21 08:37 |
| waynergy-0.0.17-r1.apk | 50.4 KiB | 2025-Sep-26 04:02 |
| wayfire-plugins-extra-0.9.0-r0.apk | 572.6 KiB | 2025-Feb-27 22:24 |
| wayfire-doc-0.9.0-r0.apk | 3.4 KiB | 2025-Feb-27 22:24 |
| wayfire-dev-0.9.0-r0.apk | 137.0 KiB | 2025-Feb-27 22:24 |
| wayfire-0.9.0-r0.apk | 2.6 MiB | 2025-Feb-27 22:24 |
| wayfarer-1.4.0-r0.apk | 62.9 KiB | 2025-Nov-06 10:52 |
| way-secure-doc-0.2.0-r0.apk | 2.8 KiB | 2025-Apr-18 22:15 |
| way-secure-0.2.0-r0.apk | 197.0 KiB | 2025-Apr-18 22:15 |
| way-displays-doc-1.15.0-r0.apk | 4.3 KiB | 2025-Sep-30 10:35 |
| way-displays-1.15.0-r0.apk | 106.8 KiB | 2025-Sep-30 10:35 |
| watershot-0.2.0-r0.apk | 1.6 MiB | 2023-Jul-06 17:21 |
| watchdog-doc-5.16-r2.apk | 14.0 KiB | 2024-Sep-27 06:10 |
| watchdog-5.16-r2.apk | 42.9 KiB | 2024-Sep-27 06:10 |
| watchbind-doc-0.2.1-r1.apk | 6.3 KiB | 2024-Sep-25 23:29 |
| watchbind-0.2.1-r1.apk | 1.1 MiB | 2024-Sep-25 23:29 |
| wasmtime-dev-39.0.1-r0.apk | 114.1 KiB | 2025-Dec-24 10:54 |
| wasmtime-39.0.1-r0.apk | 9.5 MiB | 2025-Dec-24 10:54 |
| warpinator-nemo-2.0.0-r0.apk | 4.0 KiB | 2025-Nov-25 19:39 |
| warpinator-lang-2.0.0-r0.apk | 231.4 KiB | 2025-Nov-25 19:39 |
| warpinator-2.0.0-r0.apk | 220.2 KiB | 2025-Nov-25 19:39 |
| warp-s3-1.3.1-r1.apk | 8.0 MiB | 2025-Dec-24 10:54 |
| walk-sor-doc-0_git20190920-r1.apk | 7.5 KiB | 2024-Aug-07 01:51 |
| walk-sor-0_git20190920-r1.apk | 4.9 KiB | 2024-Aug-07 01:51 |
| walk-doc-1.13.0-r8.apk | 2.0 KiB | 2025-Dec-24 10:54 |
| walk-1.13.0-r8.apk | 3.0 MiB | 2025-Dec-24 10:54 |
| wakeonlan-doc-0.42-r0.apk | 7.3 KiB | 2024-Jun-26 08:05 |
| wakeonlan-0.42-r0.apk | 4.2 KiB | 2024-Jun-26 08:05 |
| wabt-doc-1.0.37-r0.apk | 13.2 KiB | 2025-Apr-07 08:33 |
| wabt-1.0.37-r0.apk | 4.8 MiB | 2025-Apr-07 08:33 |
| w_scan2-doc-1.0.17-r0.apk | 3.9 KiB | 2025-Jun-11 20:18 |
| w_scan2-1.0.17-r0.apk | 142.0 KiB | 2025-Jun-11 20:18 |
| vym-doc-2.9.26-r0.apk | 3.4 MiB | 2023-Dec-23 08:03 |
| vym-2.9.26-r0.apk | 2.8 MiB | 2023-Dec-23 08:03 |
| volumeicon-lang-0.5.1-r1.apk | 3.5 KiB | 2022-Oct-25 13:04 |
| volumeicon-0.5.1-r1.apk | 39.4 KiB | 2022-Oct-25 13:04 |
| volatility3-pyc-2.26.2-r0.apk | 1.2 MiB | 2025-Nov-20 13:28 |
| volatility3-2.26.2-r0.apk | 1.0 MiB | 2025-Nov-20 13:28 |
| voikko-fi-2.5-r0.apk | 1.6 MiB | 2023-Jun-13 17:35 |
| vmtouch-doc-1.3.1-r0.apk | 7.8 KiB | 2023-Mar-28 12:18 |
| vmtouch-1.3.1-r0.apk | 10.0 KiB | 2023-Mar-28 12:18 |
| vmlinux.h-6.18-r0.apk | 224.3 KiB | 2025-Dec-24 10:54 |
| vlang-0.4.11-r0.apk | 32.1 MiB | 2025-Jun-21 17:05 |
| vkbasalt-doc-0.3.2.10-r0.apk | 2.8 KiB | 2024-Jan-18 23:48 |
| vkbasalt-0.3.2.10-r0.apk | 373.4 KiB | 2024-Jan-18 23:48 |
| vit-pyc-2.3.2-r1.apk | 151.2 KiB | 2024-Apr-30 01:07 |
| vit-2.3.2-r1.apk | 80.2 KiB | 2024-Apr-30 01:07 |
| visidata-zsh-completion-3.3-r0.apk | 9.2 KiB | 2025-Sep-26 04:02 |
| visidata-pyc-3.3-r0.apk | 845.5 KiB | 2025-Sep-26 04:02 |
| visidata-doc-3.3-r0.apk | 18.1 KiB | 2025-Sep-26 04:02 |
| visidata-3.3-r0.apk | 424.4 KiB | 2025-Sep-26 04:02 |
| virtualgl-doc-3.1.4-r0.apk | 314.4 KiB | 2025-Oct-15 12:16 |
| virtualgl-dev-3.1.4-r0.apk | 5.9 KiB | 2025-Oct-15 12:16 |
| virtualgl-3.1.4-r0.apk | 1.8 MiB | 2025-Oct-15 12:16 |
| virter-zsh-completion-0.29.0-r5.apk | 3.8 KiB | 2025-Dec-24 10:54 |
| virter-fish-completion-0.29.0-r5.apk | 4.1 KiB | 2025-Dec-24 10:54 |
| virter-doc-0.29.0-r5.apk | 14.6 KiB | 2025-Dec-24 10:54 |
| virter-bash-completion-0.29.0-r5.apk | 5.8 KiB | 2025-Dec-24 10:54 |
| virter-0.29.0-r5.apk | 5.7 MiB | 2025-Dec-24 10:54 |
| virtctl-zsh-completion-1.6.2-r1.apk | 3.8 KiB | 2025-Dec-24 10:54 |
| virtctl-fish-completion-1.6.2-r1.apk | 4.1 KiB | 2025-Dec-24 10:54 |
| virtctl-bash-completion-1.6.2-r1.apk | 4.9 KiB | 2025-Dec-24 10:54 |
| virtctl-1.6.2-r1.apk | 14.8 MiB | 2025-Dec-24 10:54 |
| vimv-doc-3.1.0-r0.apk | 1.7 KiB | 2025-Oct-15 12:16 |
| vimv-3.1.0-r0.apk | 260.9 KiB | 2025-Oct-15 12:16 |
| vim-rust-305-r1.apk | 19.6 KiB | 2025-Aug-21 08:38 |
| vim-nerdtree-7.1.3-r0.apk | 66.3 KiB | 2025-Mar-04 21:19 |
| vim-airline-doc-0.11-r0.apk | 12.1 KiB | 2021-Jul-27 08:13 |
| vim-airline-0.11-r0.apk | 86.4 KiB | 2021-Jul-27 08:13 |
| vile-doc-9.8z_p1-r2.apk | 356.9 KiB | 2025-Oct-06 14:28 |
| vile-common-9.8z_p1-r2.apk | 354.4 KiB | 2025-Oct-06 14:28 |
| vile-9.8z_p1-r2.apk | 777.9 KiB | 2025-Oct-06 14:28 |
| viewnior-lang-1.8-r1.apk | 84.9 KiB | 2023-Jun-15 13:05 |
| viewnior-doc-1.8-r1.apk | 1.8 KiB | 2023-Jun-15 13:05 |
| viewnior-1.8-r1.apk | 72.2 KiB | 2023-Jun-15 13:05 |
| video-trimmer-lang-25.03-r0.apk | 92.3 KiB | 2025-Jul-01 13:33 |
| video-trimmer-25.03-r0.apk | 390.2 KiB | 2025-Jul-01 13:33 |
| vidcutter-pyc-6.0.5.3-r0.apk | 1.9 MiB | 2024-Sep-27 21:11 |
| vidcutter-doc-6.0.5.3-r0.apk | 23.9 KiB | 2024-Sep-27 21:11 |
| vidcutter-6.0.5.3-r0.apk | 2.8 MiB | 2024-Sep-27 21:11 |
| vice-3.9-r2.apk | 13.6 MiB | 2025-Sep-28 04:37 |
| vfd-configurations-0_git20230612-r0.apk | 24.5 KiB | 2023-Jun-12 22:33 |
| vera++-1.3.0-r11.apk | 190.1 KiB | 2025-Oct-15 12:16 |
| vectoroids-doc-1.1.0-r2.apk | 2.0 KiB | 2024-May-28 11:28 |
| vectoroids-1.1.0-r2.apk | 280.9 KiB | 2024-May-28 11:28 |
| vector-openrc-0.50.0-r0.apk | 1.9 KiB | 2025-Oct-06 00:12 |
| vector-doc-0.50.0-r0.apk | 5.5 KiB | 2025-Oct-06 00:12 |
| vector-0.50.0-r0.apk | 24.6 MiB | 2025-Oct-06 00:12 |
| vcstool-zsh-completion-0.3.0-r5.apk | 1.4 KiB | 2024-Apr-30 01:07 |
| vcstool-tcsh-completion-0.3.0-r5.apk | 1.4 KiB | 2024-Apr-30 01:07 |
| vcstool-pyc-0.3.0-r5.apk | 57.4 KiB | 2024-Apr-30 01:07 |
| vcstool-bash-completion-0.3.0-r5.apk | 1.5 KiB | 2024-Apr-30 01:07 |
| vcstool-0.3.0-r5.apk | 34.5 KiB | 2024-Apr-30 01:07 |
| vcsh-zsh-completion-2.0.5-r0.apk | 2.7 KiB | 2023-Jun-08 22:46 |
| vcsh-doc-2.0.5-r0.apk | 26.9 KiB | 2023-Jun-08 22:46 |
| vcsh-bash-completion-2.0.5-r0.apk | 2.7 KiB | 2023-Jun-08 22:46 |
| vcsh-2.0.5-r0.apk | 8.5 KiB | 2023-Jun-08 22:46 |
| vcmi-1.6.8-r2.apk | 12.8 MiB | 2025-Sep-28 04:37 |
| vcdimager-doc-2.0.1-r5.apk | 74.0 KiB | 2025-Jan-22 10:34 |
| vcdimager-dev-2.0.1-r5.apk | 187.9 KiB | 2025-Jan-22 10:34 |
| vcdimager-2.0.1-r5.apk | 479.8 KiB | 2025-Jan-22 10:34 |
| varnish-modules-doc-0.26.0-r0.apk | 21.3 KiB | 2025-Jul-07 05:43 |
| varnish-modules-0.26.0-r0.apk | 39.8 KiB | 2025-Jul-07 05:43 |
| vals-0.42.4-r1.apk | 36.0 MiB | 2025-Dec-24 10:54 |
| vali-dev-0.1.0-r1.apk | 4.1 KiB | 2025-Nov-30 12:00 |
| vali-0.1.0-r1.apk | 29.8 KiB | 2025-Nov-30 12:00 |
| valent-libs-1.0.0_alpha49-r0.apk | 132.3 KiB | 2025-Dec-24 18:41 |
| valent-lang-1.0.0_alpha49-r0.apk | 38.5 KiB | 2025-Dec-24 18:41 |
| valent-dev-1.0.0_alpha49-r0.apk | 94.1 KiB | 2025-Dec-24 18:41 |
| valent-1.0.0_alpha49-r0.apk | 347.5 KiB | 2025-Dec-24 18:41 |
| uxn-doc-1.0-r0.apk | 3.9 KiB | 2024-Mar-25 12:20 |
| uxn-1.0-r0.apk | 43.9 KiB | 2024-Mar-25 12:20 |
| uucp-doc-1.07-r6.apk | 117.8 KiB | 2024-Oct-04 04:34 |
| uucp-1.07-r6.apk | 493.9 KiB | 2024-Oct-04 04:34 |
| ustr-static-1.0.4-r1.apk | 356.1 KiB | 2023-May-21 12:09 |
| ustr-doc-1.0.4-r1.apk | 96.3 KiB | 2023-May-21 12:09 |
| ustr-dev-1.0.4-r1.apk | 91.2 KiB | 2023-May-21 12:09 |
| ustr-debug-1.0.4-r1.apk | 74.7 KiB | 2023-May-21 12:09 |
| ustr-1.0.4-r1.apk | 56.1 KiB | 2023-May-21 12:09 |
| usql-0.19.26-r1.apk | 42.9 MiB | 2025-Dec-24 10:54 |
| usbtop-1.0-r0.apk | 12.7 KiB | 2025-Apr-15 14:08 |
| usb-moded-notify-systemd-0.2.1-r0.apk | 1.5 KiB | 2025-Dec-24 10:54 |
| usb-moded-notify-0.2.1-r0.apk | 2.8 KiB | 2025-Dec-24 10:54 |
| urlwatch-pyc-2.28-r2.apk | 101.1 KiB | 2024-Aug-07 01:51 |
| urlwatch-doc-2.28-r2.apk | 32.9 KiB | 2024-Aug-07 01:51 |
| urlwatch-2.28-r2.apk | 48.4 KiB | 2024-Aug-07 01:51 |
| upterm-zsh-completion-0.17.0-r1.apk | 3.8 KiB | 2025-Dec-24 10:54 |
| upterm-server-openrc-0.17.0-r1.apk | 1.7 KiB | 2025-Dec-24 10:54 |
| upterm-server-0.17.0-r1.apk | 6.5 MiB | 2025-Dec-24 10:54 |
| upterm-doc-0.17.0-r1.apk | 6.8 KiB | 2025-Dec-24 10:54 |
| upterm-bash-completion-0.17.0-r1.apk | 5.3 KiB | 2025-Dec-24 10:54 |
| upterm-0.17.0-r1.apk | 6.9 MiB | 2025-Dec-24 10:54 |
| update-sysfs-2.1.1_p7-r0.apk | 2.9 KiB | 2025-Nov-12 10:45 |
| up-0.4-r34.apk | 1.2 MiB | 2025-Dec-24 10:54 |
| unit-php82-1.35.0-r0.apk | 31.3 KiB | 2025-Oct-26 23:29 |
| unit-php81-1.35.0-r1.apk | 31.3 KiB | 2025-Sep-26 04:02 |
| undock-0.10.0-r5.apk | 9.9 MiB | 2025-Dec-24 10:54 |
| ufw-docker-doc-250710-r0.apk | 13.3 KiB | 2025-Sep-26 04:02 |
| ufw-docker-250710-r0.apk | 7.2 KiB | 2025-Sep-26 04:02 |
| ueberzug-pyc-18.3.1-r0.apk | 63.5 KiB | 2025-Feb-22 11:09 |
| ueberzug-18.3.1-r0.apk | 64.5 KiB | 2025-Feb-22 11:09 |
| udpt-openrc-3.1.2-r0.apk | 1.5 KiB | 2023-Dec-19 05:47 |
| udpt-3.1.2-r0.apk | 698.3 KiB | 2023-Dec-19 05:47 |
| uclient-fetch-20241022-r0.apk | 9.8 KiB | 2025-May-29 22:00 |
| uclient-dev-20241022-r0.apk | 3.3 KiB | 2025-May-29 22:00 |
| uclient-20241022-r0.apk | 17.7 KiB | 2025-May-29 22:00 |
| ubus-dev-2025.10.17-r0.apk | 5.3 KiB | 2025-Oct-26 23:29 |
| ubus-2025.10.17-r0.apk | 35.4 KiB | 2025-Oct-26 23:29 |
| ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.0 KiB | 2023-Dec-14 16:55 |
| ubuntu-archive-keyring-2023.11.28.1-r0.apk | 15.9 KiB | 2023-Dec-14 16:55 |
| ubase-doc-20200605-r3.apk | 21.0 KiB | 2024-Sep-29 15:31 |
| ubase-20200605-r3.apk | 43.3 KiB | 2024-Sep-29 15:31 |
| uasm-2.56.2-r0.apk | 300.0 KiB | 2023-Dec-14 16:55 |
| u1db-qt-0.1.8-r0.apk | 97.0 KiB | 2024-Aug-29 10:07 |
| u-boot-spacemit-2022.10.2.0.4-r0.apk | 926.6 KiB | 2024-Dec-19 20:35 |
| typstyle-0.12.14-r0.apk | 494.9 KiB | 2025-Jan-12 19:35 |
| typobuster-1.0.0-r0.apk | 129.1 KiB | 2025-Apr-24 00:11 |
| ty-zsh-completion-0.0.4-r0.apk | 3.2 KiB | 2025-Dec-24 10:54 |
| ty-pyc-0.0.4-r0.apk | 3.6 KiB | 2025-Dec-24 10:54 |
| ty-fish-completion-0.0.4-r0.apk | 2.9 KiB | 2025-Dec-24 10:54 |
| ty-bash-completion-0.0.4-r0.apk | 2.3 KiB | 2025-Dec-24 10:54 |
| ty-0.0.4-r0.apk | 6.8 MiB | 2025-Dec-24 10:54 |
| twinkle-doc-1.10.3-r3.apk | 3.2 KiB | 2025-Jan-04 21:57 |
| twinkle-1.10.3-r3.apk | 2.4 MiB | 2025-Jan-04 21:57 |
| twemproxy-doc-0.5.0-r0.apk | 17.2 KiB | 2022-May-01 06:21 |
| twemproxy-0.5.0-r0.apk | 61.7 KiB | 2022-May-01 06:21 |
| turntable-lang-0.3.3-r0.apk | 12.1 KiB | 2025-May-27 17:06 |
| turntable-0.3.3-r0.apk | 179.0 KiB | 2025-May-27 17:06 |
| turnstile-openrc-0.1.10-r3.apk | 1.5 KiB | 2024-Sep-16 21:06 |
| turnstile-doc-0.1.10-r3.apk | 5.4 KiB | 2024-Sep-16 21:06 |
| turnstile-0.1.10-r3.apk | 37.1 KiB | 2024-Sep-16 21:06 |
| turn-rs-openrc-3.4.0-r1.apk | 1.7 KiB | 2025-Jun-13 01:58 |
| turn-rs-doc-3.4.0-r1.apk | 10.6 KiB | 2025-Jun-13 01:58 |
| turn-rs-3.4.0-r1.apk | 600.4 KiB | 2025-Jun-13 01:58 |
| tuptime-openrc-5.2.4-r2.apk | 1.5 KiB | 2025-Sep-26 04:02 |
| tuptime-doc-5.2.4-r2.apk | 3.5 KiB | 2025-Sep-26 04:02 |
| tuptime-5.2.4-r2.apk | 13.7 KiB | 2025-Sep-26 04:02 |
| tup-vim-0.7.11-r1.apk | 2.3 KiB | 2025-Jun-19 14:40 |
| tup-doc-0.7.11-r1.apk | 20.6 KiB | 2025-Jun-19 14:40 |
| tup-0.7.11-r1.apk | 232.8 KiB | 2025-Jun-19 14:40 |
| tui-journal-doc-0.10.0-r0.apk | 6.6 KiB | 2024-Sep-01 17:02 |
| tui-journal-0.10.0-r0.apk | 1.9 MiB | 2024-Sep-01 17:02 |
| tuckr-0.12.0-r0.apk | 509.7 KiB | 2025-Nov-30 10:18 |
| ttyper-1.6.0-r0.apk | 585.7 KiB | 2025-Feb-05 23:09 |
| ttynvt-0.17-r0.apk | 14.4 KiB | 2025-Aug-22 18:13 |
| tty-share-2.4.0-r23.apk | 3.7 MiB | 2025-Dec-24 10:54 |
| tty-proxy-0.0.2-r33.apk | 2.5 MiB | 2025-Dec-24 10:54 |
| tty-clock-doc-2.3_git20240104-r0.apk | 2.9 KiB | 2024-Jan-19 04:11 |
| tty-clock-2.3_git20240104-r0.apk | 8.0 KiB | 2024-Jan-19 04:11 |
| ttfautohint-libs-1.8.4-r0.apk | 105.7 KiB | 2024-May-07 08:33 |
| ttfautohint-gui-1.8.4-r0.apk | 58.6 KiB | 2024-May-07 08:33 |
| ttfautohint-doc-1.8.4-r0.apk | 7.8 KiB | 2024-May-07 08:33 |
| ttfautohint-dev-1.8.4-r0.apk | 259.6 KiB | 2024-May-07 08:33 |
| ttfautohint-1.8.4-r0.apk | 27.7 KiB | 2024-May-07 08:33 |
| tsung-1.8.0-r3.apk | 721.5 KiB | 2025-Jun-13 07:01 |
| trivy-0.68.2-r0.apk | 66.0 MiB | 2025-Dec-24 10:54 |
| trippy-zsh-completion-0.13.0-r0.apk | 4.6 KiB | 2025-May-18 23:35 |
| trippy-bash-completion-0.13.0-r0.apk | 2.9 KiB | 2025-May-18 23:35 |
| trippy-0.13.0-r0.apk | 2.2 MiB | 2025-May-18 23:35 |
| trigger-rally-doc-0.6.7-r3.apk | 27.9 KiB | 2025-Mar-25 23:02 |
| trigger-rally-data-0.6.7-r3.apk | 352.0 MiB | 2025-Mar-25 23:02 |
| trigger-rally-0.6.7-r3.apk | 303.9 KiB | 2025-Mar-25 23:01 |
| tremc-zsh-completion-0.9.4-r0.apk | 1.6 KiB | 2025-Jul-16 12:26 |
| tremc-doc-0.9.4-r0.apk | 2.6 KiB | 2025-Jul-16 12:26 |
| tremc-bash-completion-0.9.4-r0.apk | 1.6 KiB | 2025-Jul-16 12:26 |
| tremc-0.9.4-r0.apk | 52.3 KiB | 2025-Jul-16 12:26 |
| treecat-doc-1.0.2_git20240706-r1.apk | 3.0 KiB | 2024-Nov-29 13:20 |
| treecat-1.0.2_git20240706-r1.apk | 131.5 KiB | 2024-Nov-29 13:20 |
| tree-sitter-pascal-doc-0.9.1-r0.apk | 2.0 KiB | 2024-Aug-18 13:41 |
| tree-sitter-pascal-0.9.1-r0.apk | 82.7 KiB | 2024-Aug-18 13:41 |
| tree-sitter-make-0_git20211216-r2.apk | 43.4 KiB | 2023-Dec-14 16:55 |
| tree-sitter-just-0_git20230318-r0.apk | 14.6 KiB | 2023-Dec-23 08:03 |
| tree-sitter-hcl-1.2.0-r0.apk | 22.7 KiB | 2025-Jun-19 01:09 |
| tree-sitter-haskell-0.23.1-r0.apk | 286.7 KiB | 2025-Jan-09 16:01 |
| tree-sitter-hare-0_git20230616-r2.apk | 35.1 KiB | 2025-Jul-22 23:04 |
| tree-sitter-gleam-1.1.0-r0.apk | 65.6 KiB | 2025-Oct-15 12:16 |
| tree-sitter-git-rebase-0_git20240722-r0.apk | 4.8 KiB | 2025-Mar-10 23:50 |
| tree-sitter-git-diff-0_git20230730-r1.apk | 10.0 KiB | 2025-Jul-25 15:02 |
| tree-sitter-git-commit-0_git20211225-r4.apk | 13.9 KiB | 2025-Jul-25 15:02 |
| tree-sitter-dart-0_git20250228-r0.apk | 101.8 KiB | 2025-Mar-10 23:50 |
| tree-sitter-clojure-0.0.13-r0.apk | 23.5 KiB | 2025-Jul-23 12:00 |
| tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.0 KiB | 2023-Dec-16 02:06 |
| tree-sitter-caddy-0_git20230322-r0.apk | 76.8 KiB | 2023-Dec-16 02:06 |
| tre-static-0.8.0-r2.apk | 52.1 KiB | 2023-May-21 12:09 |
| tre-dev-0.8.0-r2.apk | 4.9 KiB | 2023-May-21 12:09 |
| tre-0.8.0-r2.apk | 26.6 KiB | 2023-May-21 12:09 |
| trantor-doc-1.5.18-r0.apk | 2.4 KiB | 2024-May-07 08:33 |
| trantor-dev-1.5.18-r0.apk | 33.7 KiB | 2024-May-07 08:33 |
| trantor-1.5.18-r0.apk | 221.6 KiB | 2024-May-07 08:33 |
| transmission-remote-gtk-lang-1.6.0-r0.apk | 105.8 KiB | 2023-Jun-20 16:09 |
| transmission-remote-gtk-doc-1.6.0-r0.apk | 4.0 KiB | 2023-Jun-20 16:09 |
| transmission-remote-gtk-1.6.0-r0.apk | 144.6 KiB | 2023-Jun-20 16:09 |
| transito-doc-0.10.0-r0.apk | 755.6 KiB | 2025-Dec-24 10:54 |
| transito-0.10.0-r0.apk | 8.4 MiB | 2025-Dec-24 10:54 |
| trace-cmd-doc-3.3.1-r1.apk | 171.0 KiB | 2025-Jan-20 05:38 |
| trace-cmd-dbg-3.3.1-r1.apk | 482.9 KiB | 2025-Jan-20 05:38 |
| trace-cmd-bash-completion-3.3.1-r1.apk | 3.1 KiB | 2025-Jan-20 05:38 |
| trace-cmd-3.3.1-r1.apk | 163.9 KiB | 2025-Jan-20 05:38 |
| tqm-1.18.0-r0.apk | 4.5 MiB | 2025-Dec-24 10:54 |
| tpp-bypass-0.8.4-r0.apk | 12.1 KiB | 2022-Oct-13 04:22 |
| tpm2-pkcs11-pyc-1.9.2-r0.apk | 69.6 KiB | 2025-Dec-24 10:54 |
| tpm2-pkcs11-dev-1.9.2-r0.apk | 1.6 KiB | 2025-Dec-24 10:54 |
| tpm2-pkcs11-1.9.2-r0.apk | 133.0 KiB | 2025-Dec-24 10:54 |
| toybox-0.8.13-r0.apk | 260.0 KiB | 2025-Oct-16 10:31 |
| touchpad-emulator-0.3-r0.apk | 13.1 KiB | 2025-May-26 19:38 |
| toss-1.1-r1.apk | 10.3 KiB | 2025-May-29 12:38 |
| torrent-file-editor-0.3.18-r0.apk | 361.2 KiB | 2023-Jun-27 23:01 |
| topgit-doc-0.19.13-r1.apk | 73.2 KiB | 2022-Oct-25 13:04 |
| topgit-bash-completion-0.19.13-r1.apk | 3.8 KiB | 2022-Oct-25 13:04 |
| topgit-0.19.13-r1.apk | 126.4 KiB | 2022-Oct-25 13:04 |
| tonutils-reverse-proxy-doc-0.4.6-r4.apk | 3.0 KiB | 2025-Dec-24 10:54 |
| tonutils-reverse-proxy-0.4.6-r4.apk | 4.3 MiB | 2025-Dec-24 10:54 |
| toml2json-doc-1.3.2-r0.apk | 3.4 KiB | 2025-Sep-01 13:17 |
| toml2json-1.3.2-r0.apk | 352.1 KiB | 2025-Sep-01 13:17 |
| today-doc-6.2.1-r0.apk | 3.0 KiB | 2025-Jun-17 16:26 |
| today-6.2.1-r0.apk | 2.9 KiB | 2025-Jun-17 16:26 |
| toapk-1.0-r0.apk | 10.2 KiB | 2023-Dec-14 16:55 |
| tnef-doc-1.4.18-r0.apk | 4.0 KiB | 2024-Mar-30 17:39 |
| tnef-1.4.18-r0.apk | 25.2 KiB | 2024-Mar-30 17:39 |
| tncattach-doc-0.1.9-r1.apk | 3.7 KiB | 2022-Oct-25 13:04 |
| tncattach-0.1.9-r1.apk | 20.2 KiB | 2022-Oct-25 13:04 |
| tmux-resurrect-doc-4.0.0-r0.apk | 8.1 KiB | 2022-Oct-07 06:50 |
| tmux-resurrect-4.0.0-r0.apk | 13.6 KiB | 2022-Oct-07 06:50 |
| tmpmail-doc-1.2.3-r2.apk | 3.0 KiB | 2023-Dec-14 16:55 |
| tmpmail-1.2.3-r2.apk | 6.8 KiB | 2023-Dec-14 16:55 |
| tmpl-doc-0.4.0-r16.apk | 2.0 KiB | 2025-Dec-24 10:54 |
| tmpl-0.4.0-r16.apk | 2.6 MiB | 2025-Dec-24 10:54 |
| tmate-doc-2.4.0-r4.apk | 71.5 KiB | 2023-Mar-07 23:50 |
| tmate-2.4.0-r4.apk | 228.6 KiB | 2023-Mar-07 23:50 |
| tldr-python-client-pyc-3.3.0-r0.apk | 13.9 KiB | 2024-Dec-01 17:09 |
| tldr-python-client-doc-3.3.0-r0.apk | 3.2 KiB | 2024-Dec-01 17:09 |
| tldr-python-client-3.3.0-r0.apk | 11.9 KiB | 2024-Dec-01 17:09 |
| tinyscheme-1.42-r1.apk | 50.3 KiB | 2022-Oct-14 19:59 |
| tinygltf-dev-2.9.7-r0.apk | 56.9 KiB | 2025-Nov-03 10:01 |
| tinygltf-2.9.7-r0.apk | 142.7 KiB | 2025-Nov-03 10:01 |
| tinyemu-2019.12.21-r0.apk | 156.9 KiB | 2025-May-25 23:41 |
| tintin-2.02.51-r0.apk | 1.8 MiB | 2025-Nov-24 13:17 |
| timoni-zsh-completion-0.23.0-r10.apk | 3.8 KiB | 2025-Dec-24 10:54 |
| timoni-fish-completion-0.23.0-r10.apk | 4.1 KiB | 2025-Dec-24 10:54 |
| timoni-doc-0.23.0-r10.apk | 337.7 KiB | 2025-Dec-24 10:54 |
| timoni-bash-completion-0.23.0-r10.apk | 7.7 KiB | 2025-Dec-24 10:54 |
| timoni-0.23.0-r10.apk | 22.9 MiB | 2025-Dec-24 10:54 |
| timewarrior-doc-1.7.1-r0.apk | 21.9 KiB | 2024-Jan-17 22:58 |
| timewarrior-1.7.1-r0.apk | 268.8 KiB | 2024-Jan-17 22:58 |
| timew-doc-1.4.3-r1.apk | 52.9 KiB | 2022-Oct-25 13:04 |
| timew-bash-completion-1.4.3-r1.apk | 2.5 KiB | 2022-Oct-25 13:04 |
| timew-1.4.3-r1.apk | 258.8 KiB | 2022-Oct-25 13:04 |
| timeshift-lang-25.12.2-r0.apk | 1.0 MiB | 2025-Dec-24 10:54 |
| timeshift-doc-25.12.2-r0.apk | 2.9 KiB | 2025-Dec-24 10:54 |
| timeshift-25.12.2-r0.apk | 443.3 KiB | 2025-Dec-24 10:54 |
| ticker-zsh-completion-5.0.7-r7.apk | 3.8 KiB | 2025-Dec-24 10:54 |
| ticker-fish-completion-5.0.7-r7.apk | 4.1 KiB | 2025-Dec-24 10:54 |
| ticker-bash-completion-5.0.7-r7.apk | 5.9 KiB | 2025-Dec-24 10:54 |
| ticker-5.0.7-r7.apk | 3.4 MiB | 2025-Dec-24 10:54 |
| tick-doc-1.2.3-r0.apk | 5.3 KiB | 2025-Oct-06 06:06 |
| tick-1.2.3-r0.apk | 10.4 KiB | 2025-Oct-06 06:06 |
| thunarx-python-doc-0.5.2-r2.apk | 24.9 KiB | 2024-Apr-30 01:07 |
| thunarx-python-0.5.2-r2.apk | 9.3 KiB | 2024-Apr-30 01:07 |
| thunar-gtkhash-plugin-1.5-r0.apk | 22.0 KiB | 2025-Mar-10 19:00 |
| thumbdrives-0.3.2-r2.apk | 10.7 KiB | 2024-May-07 08:33 |
| throttled-pyc-0.10.0-r1.apk | 28.1 KiB | 2024-Dec-15 19:23 |
| throttled-openrc-0.10.0-r1.apk | 1.3 KiB | 2024-Dec-15 19:23 |
| throttled-0.10.0-r1.apk | 14.3 KiB | 2024-Dec-15 19:23 |
| theme.sh-doc-1.1.5-r0.apk | 2.1 KiB | 2023-Sep-05 09:48 |
| theme.sh-1.1.5-r0.apk | 38.8 KiB | 2023-Sep-05 09:48 |
| thefuck-pyc-3.32-r5.apk | 155.5 KiB | 2024-Aug-31 15:07 |
| thefuck-3.32-r5.apk | 83.1 KiB | 2024-Aug-31 15:07 |
| theforceengine-doc-1.09.540-r1.apk | 6.3 MiB | 2024-Mar-03 07:17 |
| theforceengine-1.09.540-r1.apk | 6.9 MiB | 2024-Mar-03 07:17 |
| tfupdate-doc-0.8.2-r11.apk | 2.0 KiB | 2025-Dec-24 10:54 |
| tfupdate-0.8.2-r11.apk | 4.8 MiB | 2025-Dec-24 10:54 |
| texlab-5.23.1-r0.apk | 8.8 MiB | 2025-Aug-22 08:20 |
| termusic-mpv-0.12.0-r0.apk | 9.7 MiB | 2025-Oct-15 12:16 |
| terminology-lang-1.14.0-r0.apk | 142.7 KiB | 2025-May-27 23:06 |
| terminology-doc-1.14.0-r0.apk | 8.7 KiB | 2025-May-27 23:06 |
| terminology-1.14.0-r0.apk | 2.7 MiB | 2025-May-27 23:06 |
| terminalpp-ropen-0.8.4-r0.apk | 56.7 KiB | 2022-Oct-13 04:22 |
| terminalpp-0.8.4-r0.apk | 397.6 KiB | 2022-Oct-13 04:22 |
| termcolor-dev-2.1.0-r0.apk | 6.6 KiB | 2022-Oct-28 14:12 |
| termcolor-2.1.0-r0.apk | 1.2 KiB | 2022-Oct-28 14:12 |
| termbox-static-1.1.2-r1.apk | 20.9 KiB | 2023-May-21 12:09 |
| termbox-dev-1.1.2-r1.apk | 5.5 KiB | 2023-May-21 12:09 |
| termbox-1.1.2-r1.apk | 12.0 KiB | 2023-May-21 12:09 |
| tere-doc-1.6.0-r0.apk | 13.7 KiB | 2024-Sep-16 11:50 |
| tere-1.6.0-r0.apk | 1006.0 KiB | 2024-Sep-16 11:50 |
| tenv-zsh-completion-4.9.0-r1.apk | 3.8 KiB | 2025-Dec-24 10:54 |
| tenv-fish-completion-4.9.0-r1.apk | 4.1 KiB | 2025-Dec-24 10:54 |
| tenv-bash-completion-4.9.0-r1.apk | 5.8 KiB | 2025-Dec-24 10:54 |
| tenv-4.9.0-r1.apk | 9.3 MiB | 2025-Dec-24 10:54 |
| tempo-vulture-openrc-2.9.0-r1.apk | 1.7 KiB | 2025-Dec-24 10:54 |
| tempo-vulture-2.9.0-r1.apk | 14.5 MiB | 2025-Dec-24 10:54 |
| tempo-query-2.9.0-r1.apk | 6.5 MiB | 2025-Dec-24 10:54 |
| tempo-openrc-2.9.0-r1.apk | 1.6 KiB | 2025-Dec-24 10:54 |
| tempo-cli-2.9.0-r1.apk | 21.9 MiB | 2025-Dec-24 10:54 |
| tempo-2.9.0-r1.apk | 30.7 MiB | 2025-Dec-24 10:54 |
| templ-0.3.960-r1.apk | 5.3 MiB | 2025-Dec-24 10:54 |
| telegram-tdlib-static-1.8.51-r0.apk | 34.6 MiB | 2025-Aug-02 10:50 |
| telegram-tdlib-dev-1.8.51-r0.apk | 188.4 KiB | 2025-Aug-02 10:50 |
| telegram-tdlib-1.8.51-r0.apk | 8.5 MiB | 2025-Aug-02 10:50 |
| telegram-bot-api-9.1-r0.apk | 8.4 MiB | 2025-Aug-02 11:27 |
| tealdeer-zsh-completion-1.8.0-r0.apk | 2.1 KiB | 2025-Oct-28 02:01 |
| tealdeer-fish-completion-1.8.0-r0.apk | 2.0 KiB | 2025-Oct-28 02:01 |
| tealdeer-bash-completion-1.8.0-r0.apk | 1.8 KiB | 2025-Oct-28 02:01 |
| tealdeer-1.8.0-r0.apk | 825.7 KiB | 2025-Oct-28 02:01 |
| tdrop-doc-0.5.0-r0.apk | 8.9 KiB | 2022-May-06 23:03 |
| tdrop-0.5.0-r0.apk | 11.8 KiB | 2022-May-06 23:03 |
| tcpbench-doc-3.00-r1.apk | 5.0 KiB | 2025-Sep-26 04:02 |
| tcpbench-3.00-r1.apk | 22.7 KiB | 2025-Sep-26 04:02 |
| tcmu-runner-rbd-1.6.0-r6.apk | 12.1 KiB | 2024-Oct-13 18:32 |
| tcmu-runner-doc-1.6.0-r6.apk | 2.3 KiB | 2024-Oct-13 18:32 |
| tcmu-runner-1.6.0-r6.apk | 82.9 KiB | 2024-Oct-13 18:32 |
| tcl-curl-doc-7.22.0-r0.apk | 37.4 KiB | 2023-Jan-18 21:04 |
| tcl-curl-7.22.0-r0.apk | 28.1 KiB | 2023-Jan-18 21:04 |
| tayga-doc-0.9.5-r0.apk | 5.8 KiB | 2025-Jun-20 08:03 |
| tayga-0.9.5-r0.apk | 26.0 KiB | 2025-Jun-20 08:03 |
| taskwarrior-tui-fish-completion-0.26.3-r0.apk | 1.5 KiB | 2025-Mar-26 10:50 |
| taskwarrior-tui-doc-0.26.3-r0.apk | 3.7 KiB | 2025-Mar-26 10:50 |
| taskwarrior-tui-bash-completion-0.26.3-r0.apk | 1.7 KiB | 2025-Mar-26 10:50 |
| taskwarrior-tui-0.26.3-r0.apk | 1.2 MiB | 2025-Mar-26 10:50 |
| tartube-pyc-2.5.0-r2.apk | 1.1 MiB | 2025-Dec-24 10:54 |
| tartube-2.5.0-r2.apk | 2.7 MiB | 2025-Dec-24 10:54 |
| tanka-0.35.0-r1.apk | 6.9 MiB | 2025-Dec-24 10:54 |
| tanidvr-dhav2mkv-1.4.1-r2.apk | 14.1 KiB | 2025-Mar-21 13:27 |
| tanidvr-1.4.1-r2.apk | 25.2 KiB | 2025-Mar-21 13:27 |
| tangctl-0_git20241007-r9.apk | 2.6 MiB | 2025-Dec-24 10:54 |
| tang-openrc-15-r0.apk | 1.6 KiB | 2025-Jan-20 05:38 |
| tang-doc-15-r0.apk | 20.3 KiB | 2025-Jan-20 05:38 |
| tang-dbg-15-r0.apk | 30.3 KiB | 2025-Jan-20 05:38 |
| tang-15-r0.apk | 15.6 KiB | 2025-Jan-20 05:38 |
| tailspin-zsh-completion-5.5.0-r0.apk | 2.2 KiB | 2025-Oct-28 07:07 |
| tailspin-fish-completion-5.5.0-r0.apk | 1.9 KiB | 2025-Oct-28 07:07 |
| tailspin-doc-5.5.0-r0.apk | 2.7 KiB | 2025-Oct-28 07:07 |
| tailspin-bash-completion-5.5.0-r0.apk | 2.0 KiB | 2025-Oct-28 07:07 |
| tailspin-5.5.0-r0.apk | 1.2 MiB | 2025-Oct-28 07:07 |
| tachyon-scenes-0.99_beta6-r2.apk | 1.9 MiB | 2025-Dec-24 10:54 |
| tachyon-0.99_beta6-r2.apk | 100.8 KiB | 2025-Dec-24 10:54 |
| t2sz-1.1.2-r0.apk | 7.9 KiB | 2023-Apr-19 22:32 |
| syncwhen-systemd-0.3-r0.apk | 1.5 KiB | 2025-Nov-02 22:22 |
| syncwhen-0.3-r0.apk | 5.0 KiB | 2025-Nov-02 22:22 |
| syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.3 KiB | 2024-Aug-08 18:23 |
| syncthing-gtk-doc-0.9.4.5-r2.apk | 1.9 KiB | 2024-Aug-08 18:23 |
| syncthing-gtk-0.9.4.5-r2.apk | 439.7 KiB | 2024-Aug-08 18:23 |
| sympow-doc-2.023.7-r2.apk | 2.8 KiB | 2024-Sep-07 04:16 |
| sympow-2.023.7-r2.apk | 1.8 MiB | 2024-Sep-07 04:16 |
| symlinks-doc-1.4.3-r0.apk | 3.6 KiB | 2025-Apr-21 21:27 |
| symlinks-1.4.3-r0.apk | 5.6 KiB | 2025-Apr-21 21:27 |
| symengine-0.12.0-r0.apk | 6.2 MiB | 2024-Jun-30 19:18 |
| sylpheed-imap-notify-1.1.0-r2.apk | 8.0 KiB | 2024-Sep-26 21:36 |
| sydbox-vim-3.45.2-r0.apk | 7.0 KiB | 2025-Dec-24 10:54 |
| sydbox-utils-3.45.2-r0.apk | 6.7 MiB | 2025-Dec-24 10:54 |
| sydbox-test-3.45.2-r0.apk | 2.0 MiB | 2025-Dec-24 10:54 |
| sydbox-syd-3.45.2-r0.apk | 2.2 MiB | 2025-Dec-24 10:54 |
| sydbox-oci-3.45.2-r0.apk | 3.1 MiB | 2025-Dec-24 10:54 |
| sydbox-doc-3.45.2-r0.apk | 165.0 KiB | 2025-Dec-24 10:54 |
| sydbox-3.45.2-r0.apk | 5.0 MiB | 2025-Dec-24 10:54 |
| syd-tui-0.2.2-r0.apk | 409.8 KiB | 2025-Dec-24 10:54 |
| sxcs-doc-1.1.0-r0.apk | 2.4 KiB | 2024-Jun-06 21:03 |
| sxcs-1.1.0-r0.apk | 8.0 KiB | 2024-Jun-06 21:03 |
| swhkd-doc-1.2.1-r0.apk | 5.9 KiB | 2024-Oct-01 22:19 |
| swhkd-1.2.1-r0.apk | 1.0 MiB | 2024-Oct-01 22:19 |
| sway-audio-idle-inhibit-0.1.2-r0.apk | 9.5 KiB | 2024-Oct-03 07:22 |
| swappy-lang-1.7.1-r0.apk | 3.5 KiB | 2025-Aug-22 00:35 |
| swappy-doc-1.7.1-r0.apk | 3.6 KiB | 2025-Aug-22 00:35 |
| swappy-1.7.1-r0.apk | 27.7 KiB | 2025-Aug-22 00:35 |
| swaks-doc-20240103.0-r0.apk | 49.4 KiB | 2024-Jan-08 08:07 |
| swaks-20240103.0-r0.apk | 65.8 KiB | 2024-Jan-08 08:07 |
| svls-doc-0.2.14-r0.apk | 2.0 KiB | 2025-Dec-24 10:54 |
| svls-0.2.14-r0.apk | 3.5 MiB | 2025-Dec-24 10:54 |
| svgbob-0.7.6-r0.apk | 460.7 KiB | 2025-Jul-19 15:21 |
| suru-icon-theme-2025.05.0-r0.apk | 2.9 MiB | 2025-May-10 14:49 |
| surfraw-doc-2.3.0-r0.apk | 17.4 KiB | 2023-Aug-19 19:23 |
| surfraw-2.3.0-r0.apk | 78.5 KiB | 2023-Aug-19 19:23 |
| surf-doc-2.1-r3.apk | 4.4 KiB | 2024-May-12 00:19 |
| surf-2.1-r3.apk | 21.5 KiB | 2024-May-12 00:19 |
| supersonik-0.1.0-r3.apk | 1.1 MiB | 2025-Dec-24 10:54 |
| sudo-ldap-1.9.17_p1-r0.apk | 745.1 KiB | 2025-Jul-01 21:11 |
| subliminal-pyc-2.4.0-r0.apk | 178.7 KiB | 2025-Nov-24 00:21 |
| subliminal-2.4.0-r0.apk | 89.5 KiB | 2025-Nov-24 00:21 |
| sublime-music-pyc-0.12.0-r1.apk | 301.9 KiB | 2024-Apr-30 01:07 |
| sublime-music-0.12.0-r1.apk | 189.2 KiB | 2024-Apr-30 01:07 |
| stw-doc-0.3-r0.apk | 2.3 KiB | 2023-Mar-07 23:50 |
| stw-0.3-r0.apk | 6.7 KiB | 2023-Mar-07 23:50 |
| stone-soup-0.32.1-r0.apk | 33.1 MiB | 2024-Sep-26 07:38 |
| sthttpd-openrc-2.27.1-r2.apk | 1.7 KiB | 2022-Oct-25 13:04 |
| sthttpd-doc-2.27.1-r2.apk | 18.0 KiB | 2022-Oct-25 13:04 |
| sthttpd-2.27.1-r2.apk | 51.8 KiB | 2022-Oct-25 13:04 |
| stern-zsh-completion-1.33.0-r1.apk | 3.8 KiB | 2025-Dec-24 10:54 |
| stern-fish-completion-1.33.0-r1.apk | 4.1 KiB | 2025-Dec-24 10:54 |
| stern-bash-completion-1.33.0-r1.apk | 5.6 KiB | 2025-Dec-24 10:54 |
| stern-1.33.0-r1.apk | 18.0 MiB | 2025-Dec-24 10:54 |
| steghide-doc-0.5.1.1-r0.apk | 13.4 KiB | 2024-Apr-30 01:07 |
| steghide-0.5.1.1-r0.apk | 137.1 KiB | 2024-Apr-30 01:07 |
| stayrtr-openrc-0.6.3-r1.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| stayrtr-0.6.3-r1.apk | 10.6 MiB | 2025-Dec-24 10:53 |
| startup-tools-2.0.3-r5.apk | 13.1 KiB | 2024-Sep-09 10:26 |
| startup-lang-2.0.3-r5.apk | 16.3 KiB | 2024-Sep-09 10:26 |
| startup-fish-completion-2.0.3-r5.apk | 5.1 KiB | 2024-Sep-09 10:26 |
| startup-doc-2.0.3-r5.apk | 47.3 KiB | 2024-Sep-09 10:26 |
| startup-dev-2.0.3-r5.apk | 5.6 KiB | 2024-Sep-09 10:26 |
| startup-bridge-udev-2.0.3-r5.apk | 31.7 KiB | 2024-Sep-09 10:26 |
| startup-bridge-dconf-2.0.3-r5.apk | 31.9 KiB | 2024-Sep-09 10:26 |
| startup-2.0.3-r5.apk | 434.7 KiB | 2024-Sep-09 10:26 |
| starfighter-doc-2.4-r0.apk | 21.3 KiB | 2023-Aug-19 19:23 |
| starfighter-2.4-r0.apk | 47.9 MiB | 2023-Aug-19 19:23 |
| stam-0.12.2-r0.apk | 1.7 MiB | 2025-Sep-26 04:02 |
| stalwart-mail-openrc-0.15.2-r0.apk | 1.8 KiB | 2025-Dec-24 10:53 |
| stalwart-mail-0.15.2-r0.apk | 17.3 MiB | 2025-Dec-24 10:53 |
| stalwart-cli-0.15.2-r0.apk | 2.0 MiB | 2025-Dec-24 10:53 |
| stacker-doc-1.1.3-r1.apk | 15.4 KiB | 2025-Dec-24 10:53 |
| stacker-1.1.3-r1.apk | 23.5 MiB | 2025-Dec-24 10:53 |
| sstp-client-doc-1.0.20-r3.apk | 4.6 KiB | 2025-Oct-26 23:29 |
| sstp-client-dev-1.0.20-r3.apk | 5.9 KiB | 2025-Oct-26 23:29 |
| sstp-client-1.0.20-r3.apk | 39.7 KiB | 2025-Oct-26 23:29 |
| ssss-doc-0.5.7-r0.apk | 3.3 KiB | 2021-Oct-29 06:49 |
| ssss-0.5.7-r0.apk | 10.6 KiB | 2021-Oct-29 06:49 |
| sssd-openrc-2.11.1-r2.apk | 1.4 KiB | 2025-Nov-20 09:32 |
| sssd-dev-2.11.1-r2.apk | 14.5 KiB | 2025-Nov-20 09:32 |
| sssd-2.11.1-r2.apk | 2.0 MiB | 2025-Nov-20 09:32 |
| sshuttle-pyc-1.1.2-r0.apk | 100.4 KiB | 2024-Jun-12 01:20 |
| sshuttle-doc-1.1.2-r0.apk | 8.2 KiB | 2024-Jun-12 01:20 |
| sshuttle-1.1.2-r0.apk | 62.0 KiB | 2024-Jun-12 01:20 |
| sshsrv-1.0-r17.apk | 991.7 KiB | 2025-Dec-24 10:53 |
| sshs-4.7.2-r0.apk | 717.1 KiB | 2025-Apr-23 22:53 |
| sshm-doc-1.9.0-r1.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| sshm-1.9.0-r1.apk | 3.8 MiB | 2025-Dec-24 10:53 |
| ssh-tools-1.8-r0.apk | 25.3 KiB | 2024-Mar-16 21:31 |
| ssh-studio-pyc-1.3.1-r0.apk | 106.3 KiB | 2025-Oct-15 12:16 |
| ssh-studio-lang-1.3.1-r0.apk | 1.6 KiB | 2025-Oct-15 12:16 |
| ssh-studio-1.3.1-r0.apk | 202.1 KiB | 2025-Oct-15 12:16 |
| ssh-honeypot-openrc-0.1.1-r1.apk | 1.8 KiB | 2023-May-21 12:09 |
| ssh-honeypot-0.1.1-r1.apk | 8.6 KiB | 2023-May-21 12:09 |
| ssh-get-id-doc-0.2.0-r0.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| ssh-get-id-0.2.0-r0.apk | 2.3 MiB | 2025-Dec-24 10:53 |
| ssdfs-tools-dev-4.09-r0.apk | 18.2 KiB | 2023-Mar-10 11:49 |
| ssdfs-tools-4.09-r0.apk | 93.3 KiB | 2023-Mar-10 11:49 |
| sregex-dev-0.0.1-r1.apk | 22.4 KiB | 2022-Oct-25 13:04 |
| sregex-0.0.1-r1.apk | 18.4 KiB | 2022-Oct-25 13:04 |
| srb2-data-2.2.15-r2.apk | 159.6 MiB | 2025-Dec-24 10:53 |
| srb2-2.2.15-r2.apk | 1.9 MiB | 2025-Dec-24 10:53 |
| srain-lang-1.8.1-r0.apk | 34.8 KiB | 2025-Jun-26 22:53 |
| srain-1.8.1-r0.apk | 164.4 KiB | 2025-Jun-26 22:53 |
| squeak-vm-doc-4.10.2.2614-r2.apk | 12.1 KiB | 2025-Oct-15 12:16 |
| squeak-vm-4.10.2.2614-r2.apk | 606.2 KiB | 2025-Oct-15 12:16 |
| sqruff-doc-0.25.26-r0.apk | 8.4 KiB | 2025-Apr-15 14:08 |
| sqruff-0.25.26-r0.apk | 2.1 MiB | 2025-Apr-15 14:08 |
| sqm-scripts-1.6.0-r0.apk | 20.2 KiB | 2023-Aug-22 20:47 |
| sqlmap-pyc-1.9.12-r0.apk | 1.2 MiB | 2025-Dec-24 10:53 |
| sqlmap-1.9.12-r0.apk | 6.7 MiB | 2025-Dec-24 10:53 |
| sqliteodbc-0.99991-r0.apk | 87.7 KiB | 2023-Dec-23 08:03 |
| sqlar-doc-0_git20180107-r1.apk | 3.0 KiB | 2022-Oct-25 13:04 |
| sqlar-0_git20180107-r1.apk | 10.4 KiB | 2022-Oct-25 13:04 |
| sq-zsh-completion-0.48.5-r10.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| sq-fish-completion-0.48.5-r10.apk | 4.0 KiB | 2025-Dec-24 10:53 |
| sq-doc-0.48.5-r10.apk | 5.6 KiB | 2025-Dec-24 10:53 |
| sq-bash-completion-0.48.5-r10.apk | 4.8 KiB | 2025-Dec-24 10:53 |
| sq-0.48.5-r10.apk | 10.6 MiB | 2025-Dec-24 10:53 |
| spvm-thread-doc-0.003-r1.apk | 5.7 KiB | 2025-Jul-01 13:33 |
| spvm-thread-0.003-r1.apk | 10.6 KiB | 2025-Jul-01 13:33 |
| spvm-mime-base64-doc-1.003-r1.apk | 5.2 KiB | 2025-Jul-01 13:33 |
| spvm-mime-base64-1.003-r1.apk | 13.9 KiB | 2025-Jul-01 13:33 |
| spvm-math-doc-1.006-r1.apk | 6.7 KiB | 2025-Jul-01 13:33 |
| spvm-math-1.006-r1.apk | 20.3 KiB | 2025-Jul-01 13:33 |
| spvm-errno-doc-0.093-r1.apk | 5.7 KiB | 2025-Jul-01 13:33 |
| spvm-errno-0.093-r1.apk | 16.7 KiB | 2025-Jul-01 13:33 |
| spreadtrum_flash-1.20240815-r0.apk | 34.1 KiB | 2025-May-10 01:44 |
| spread-sheet-widget-doc-0.10-r0.apk | 4.4 KiB | 2024-Oct-05 07:31 |
| spread-sheet-widget-dev-0.10-r0.apk | 680.2 KiB | 2024-Oct-05 07:31 |
| spread-sheet-widget-dbg-0.10-r0.apk | 186.8 KiB | 2024-Oct-05 07:31 |
| spread-sheet-widget-0.10-r0.apk | 44.8 KiB | 2024-Oct-05 07:31 |
| spnavcfg-1.1-r0.apk | 37.0 KiB | 2023-Feb-13 06:58 |
| splitter-doc-0.4.1-r1.apk | 3.6 KiB | 2025-Jul-16 12:26 |
| splitter-0.4.1-r1.apk | 538.0 KiB | 2025-Jul-16 12:26 |
| spiped-1.6.4-r0.apk | 74.6 KiB | 2025-Dec-24 10:53 |
| spice-html5-0.3.0-r1.apk | 438.5 KiB | 2021-Sep-13 19:46 |
| speedtest-go-openrc-1.1.5-r20.apk | 1.5 KiB | 2025-Dec-24 10:53 |
| speedtest-go-doc-1.1.5-r20.apk | 4.2 KiB | 2025-Dec-24 10:53 |
| speedtest-go-1.1.5-r20.apk | 5.4 MiB | 2025-Dec-24 10:53 |
| speedtest-examples-5.2.5-r1.apk | 12.7 KiB | 2023-Sep-04 12:07 |
| speedtest-doc-5.2.5-r1.apk | 18.1 KiB | 2023-Sep-04 12:07 |
| speedtest-5.2.5-r1.apk | 253.2 KiB | 2023-Sep-04 12:07 |
| speedcrunch-0.12-r3.apk | 1.1 MiB | 2023-Feb-17 06:47 |
| spacenavd-1.2-r0.apk | 30.1 KiB | 2023-Feb-25 14:09 |
| spacectl-zsh-completion-1.12.0-r6.apk | 1.6 KiB | 2025-Dec-24 10:53 |
| spacectl-fish-completion-1.12.0-r6.apk | 6.8 KiB | 2025-Dec-24 10:53 |
| spacectl-doc-1.12.0-r6.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| spacectl-bash-completion-1.12.0-r6.apk | 1.8 KiB | 2025-Dec-24 10:53 |
| spacectl-1.12.0-r6.apk | 5.6 MiB | 2025-Dec-24 10:53 |
| soundconverter-pyc-4.1.1-r0.apk | 76.4 KiB | 2025-Jul-29 13:19 |
| soundconverter-lang-4.1.1-r0.apk | 106.6 KiB | 2025-Jul-29 13:19 |
| soundconverter-doc-4.1.1-r0.apk | 4.5 KiB | 2025-Jul-29 13:19 |
| soundconverter-4.1.1-r0.apk | 164.3 KiB | 2025-Jul-29 13:19 |
| sos-0.8-r36.apk | 2.7 MiB | 2025-Dec-24 10:53 |
| sopwith-doc-2.5.0-r0.apk | 14.6 KiB | 2024-May-07 08:33 |
| sopwith-2.5.0-r0.apk | 51.0 KiB | 2024-May-07 08:33 |
| sonicradio-0.8.4-r2.apk | 3.2 MiB | 2025-Dec-24 10:53 |
| somebar-doc-1.0.3-r0.apk | 2.2 KiB | 2023-Jun-04 18:00 |
| somebar-1.0.3-r0.apk | 46.2 KiB | 2023-Jun-04 18:00 |
| solanum-lang-6.0.0-r0.apk | 47.1 KiB | 2025-Jul-20 00:34 |
| solanum-6.0.0-r0.apk | 251.4 KiB | 2025-Jul-20 00:34 |
| soapy-bladerf-0.4.2-r0.apk | 47.8 KiB | 2025-Jan-01 02:42 |
| so-0.4.10-r0.apk | 2.0 MiB | 2024-Aug-29 10:07 |
| snore-doc-0.3.1-r0.apk | 2.8 KiB | 2023-Dec-14 16:55 |
| snore-0.3.1-r0.apk | 4.2 KiB | 2023-Dec-14 16:55 |
| snippets-ls-0.0.4_git20240617-r10.apk | 1.3 MiB | 2025-Dec-24 10:53 |
| snapraid-doc-13.0-r0.apk | 18.7 KiB | 2025-Nov-01 00:28 |
| snapraid-13.0-r0.apk | 288.6 KiB | 2025-Nov-01 00:28 |
| snapper-zsh-completion-0.13.0-r0.apk | 3.3 KiB | 2025-Sep-26 04:02 |
| snapper-lang-0.13.0-r0.apk | 218.7 KiB | 2025-Sep-26 04:02 |
| snapper-doc-0.13.0-r0.apk | 25.0 KiB | 2025-Sep-26 04:02 |
| snapper-dev-0.13.0-r0.apk | 10.5 KiB | 2025-Sep-26 04:02 |
| snapper-bash-completion-0.13.0-r0.apk | 3.1 KiB | 2025-Sep-26 04:02 |
| snapper-0.13.0-r0.apk | 988.6 KiB | 2025-Sep-26 04:02 |
| smplxmpp-doc-0.9.3-r5.apk | 24.6 KiB | 2025-Oct-15 12:16 |
| smplxmpp-0.9.3-r5.apk | 147.3 KiB | 2025-Oct-15 12:16 |
| smile-lang-2.11.0-r0.apk | 28.0 KiB | 2025-Dec-24 10:53 |
| smile-2.11.0-r0.apk | 873.1 KiB | 2025-Dec-24 10:53 |
| smassh-pyc-3.1.6-r0.apk | 70.0 KiB | 2024-Nov-21 02:57 |
| smassh-3.1.6-r0.apk | 72.1 KiB | 2024-Nov-21 02:57 |
| slurm-doc-0.4.4-r0.apk | 2.3 KiB | 2022-May-02 00:15 |
| slurm-0.4.4-r0.apk | 12.8 KiB | 2022-May-02 00:15 |
| sloccount-doc-2.26-r3.apk | 59.4 KiB | 2022-Jan-18 20:37 |
| sloccount-2.26-r3.apk | 57.5 KiB | 2022-Jan-18 20:37 |
| sipgrep-2.2.0-r1.apk | 25.4 KiB | 2024-Sep-25 14:50 |
| sipexer-1.2.0-r8.apk | 2.8 MiB | 2025-Dec-24 10:53 |
| singular-static-4.4.1_p2-r1.apk | 11.3 MiB | 2025-Nov-26 09:16 |
| singular-emacs-4.4.1_p2-r1.apk | 101.3 KiB | 2025-Nov-26 09:16 |
| singular-doc-4.4.1_p2-r1.apk | 1.3 MiB | 2025-Nov-26 09:16 |
| singular-dev-4.4.1_p2-r1.apk | 358.9 KiB | 2025-Nov-26 09:16 |
| singular-4.4.1_p2-r1.apk | 10.7 MiB | 2025-Nov-26 09:16 |
| simpleble-dev-0.10.3-r0.apk | 27.7 KiB | 2025-Jul-16 12:26 |
| simpleble-0.10.3-r0.apk | 994 B | 2025-Jul-16 12:26 |
| simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 539.9 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-zenburn-0_git20250312-r0.apk | 529.0 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-tokyo-night-storm-left-0_git20250..> | 545.4 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-tokyo-night-storm-0_git20250312-r..> | 533.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-tokyo-night-light-left-0_git20250..> | 526.6 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-tokyo-night-light-0_git20250312-r..> | 519.6 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-tokyo-night-left-0_git20250312-r0..> | 540.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 529.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-solarized-light-left-0_git2025031..> | 541.8 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-solarized-light-0_git20250312-r0...> | 530.8 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-solarized-dark-left-0_git20250312..> | 534.8 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 523.4 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-rose-pine-moon-left-0_git20250312..> | 549.9 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 537.6 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 544.9 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-rose-pine-dawn-left-0_git20250312..> | 550.3 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 538.1 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-rose-pine-0_git20250312-r0.apk | 533.2 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-nord-light-left-0_git20250312-r0...> | 524.4 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-nord-light-0_git20250312-r0.apk | 517.6 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 548.3 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-nord-dark-0_git20250312-r0.apk | 535.4 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 451.2 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-mix-light-0_git20250312-r0.apk | 449.3 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 491.9 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-mix-dark-0_git20250312-r0.apk | 486.5 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-left-0_git20250312-r0.apk | 448.2 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-gruvbox-light-left-0_git20250312-..> | 526.3 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 516.9 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-gruvbox-dark-left-0_git20250312-r..> | 536.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 524.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-doc-0_git20250312-r0.apk | 13.6 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-dark-left-0_git20250312-r0.apk | 489.3 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-dark-0_git20250312-r0.apk | 483.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-catppuccin-mocha-left-0_git202503..> | 539.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-catppuccin-mocha-0_git20250312-r0..> | 529.6 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-catppuccin-macchiato-left-0_git20..> | 544.2 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-catppuccin-macchiato-0_git2025031..> | 532.4 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-catppuccin-latte-left-0_git202503..> | 537.1 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-catppuccin-latte-0_git20250312-r0..> | 527.3 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-catppuccin-frappe-left-0_git20250..> | 547.2 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-catppuccin-frappe-0_git20250312-r..> | 534.8 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-breeze-left-0_git20250312-r0.apk | 509.1 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-breeze-dark-left-0_git20250312-r0..> | 526.0 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 519.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-breeze-0_git20250312-r0.apk | 504.0 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-adw-left-0_git20250312-r0.apk | 482.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 484.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-adw-dark-0_git20250312-r0.apk | 481.7 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-adw-0_git20250312-r0.apk | 477.5 KiB | 2025-Mar-13 12:32 |
| simp1e-cursors-0_git20250312-r0.apk | 446.4 KiB | 2025-Mar-13 12:32 |
| simh-3.11.1-r1.apk | 2.7 MiB | 2022-Oct-25 13:04 |
| simgear-dev-2024.1.1-r0.apk | 403.9 KiB | 2025-May-10 01:44 |
| simgear-2024.1.1-r0.apk | 2.2 MiB | 2025-May-10 01:44 |
| silc-client-doc-1.1.11-r18.apk | 81.9 KiB | 2025-Jul-01 13:33 |
| silc-client-1.1.11-r18.apk | 875.0 KiB | 2025-Jul-01 13:33 |
| sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.1 KiB | 2021-Jul-27 08:12 |
| sigrok-cli-doc-0.7.2-r0.apk | 7.7 KiB | 2022-Oct-07 06:50 |
| sigrok-cli-0.7.2-r0.apk | 35.5 KiB | 2022-Oct-07 06:50 |
| sigma-pyc-0.23.1-r1.apk | 339.7 KiB | 2024-Apr-30 01:07 |
| sigma-0.23.1-r1.apk | 236.5 KiB | 2024-Apr-30 01:07 |
| shutdown-clear-machine-id-1.0.0-r0.apk | 1.5 KiB | 2023-Aug-21 11:43 |
| shntool-doc-3.0.10-r5.apk | 10.0 KiB | 2025-Feb-25 05:12 |
| shntool-3.0.10-r5.apk | 60.4 KiB | 2025-Feb-25 05:12 |
| shipments-0.3.0-r0.apk | 23.5 KiB | 2022-Oct-07 06:50 |
| shine-3.1.1-r0.apk | 66.7 KiB | 2021-Jul-27 08:12 |
| shellinabox-openrc-2.21-r3.apk | 3.3 KiB | 2023-May-21 12:09 |
| shellinabox-doc-2.21-r3.apk | 19.1 KiB | 2023-May-21 12:09 |
| shellinabox-2.21-r3.apk | 119.4 KiB | 2023-May-21 12:09 |
| shc-4.0.3-r2.apk | 18.5 KiB | 2024-Sep-29 15:31 |
| shadowsocks-libev-doc-3.3.5-r4.apk | 27.7 KiB | 2024-Apr-30 01:07 |
| shadowsocks-libev-dev-3.3.5-r4.apk | 3.2 KiB | 2024-Apr-30 01:07 |
| shadowsocks-libev-3.3.5-r4.apk | 225.9 KiB | 2024-Apr-30 01:07 |
| sgt-puzzles-0_git20230310-r2.apk | 2.9 MiB | 2024-Jun-10 11:55 |
| sfwbar-doc-1.0_beta161-r0.apk | 26.2 KiB | 2025-Jul-31 13:52 |
| sfwbar-1.0_beta161-r0.apk | 273.5 KiB | 2025-Jul-31 13:52 |
| sflowtool-doc-6.02-r0.apk | 9.1 KiB | 2023-Dec-14 16:55 |
| sflowtool-6.02-r0.apk | 44.3 KiB | 2023-Dec-14 16:55 |
| setroot-doc-2.0.2-r1.apk | 4.2 KiB | 2022-Oct-25 13:04 |
| setroot-2.0.2-r1.apk | 10.6 KiB | 2022-Oct-25 13:04 |
| serie-doc-0.5.5-r0.apk | 7.9 KiB | 2025-Dec-24 10:53 |
| serie-0.5.5-r0.apk | 872.0 KiB | 2025-Dec-24 10:53 |
| serialdv-libs-1.1.5-r0.apk | 62.4 KiB | 2025-Oct-26 23:29 |
| serialdv-dev-1.1.5-r0.apk | 5.1 KiB | 2025-Oct-26 23:29 |
| serialdv-1.1.5-r0.apk | 6.1 KiB | 2025-Oct-26 23:29 |
| sentrypeer-doc-4.0.4-r0.apk | 3.0 KiB | 2025-Mar-19 18:48 |
| sentrypeer-4.0.4-r0.apk | 1.4 MiB | 2025-Mar-19 18:48 |
| sentinel-proxy-openrc-2.1.0-r1.apk | 2.0 KiB | 2025-Jun-13 14:29 |
| sentinel-proxy-dev-2.1.0-r1.apk | 4.8 KiB | 2025-Jun-13 14:29 |
| sentinel-proxy-2.1.0-r1.apk | 38.3 KiB | 2025-Jun-13 14:29 |
| sentinel-minipot-openrc-2.3.0-r1.apk | 2.3 KiB | 2023-Dec-14 16:55 |
| sentinel-minipot-2.3.0-r1.apk | 41.2 KiB | 2023-Dec-14 16:55 |
| semaphoreui-zsh-completion-2.16.37-r1.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| semaphoreui-openrc-2.16.37-r1.apk | 1.8 KiB | 2025-Dec-24 10:53 |
| semaphoreui-fish-completion-2.16.37-r1.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| semaphoreui-doc-2.16.37-r1.apk | 2.1 KiB | 2025-Dec-24 10:53 |
| semaphoreui-bash-completion-2.16.37-r1.apk | 5.8 KiB | 2025-Dec-24 10:53 |
| semaphoreui-2.16.37-r1.apk | 15.8 MiB | 2025-Dec-24 10:53 |
| seed7-vim-05.20240322-r0.apk | 3.8 KiB | 2024-Mar-25 12:20 |
| seed7-nano-05.20240322-r0.apk | 2.2 KiB | 2024-Mar-25 12:20 |
| seed7-doc-05.20240322-r0.apk | 1.6 MiB | 2024-Mar-25 12:20 |
| seed7-05.20240322-r0.apk | 10.4 MiB | 2024-Mar-25 12:20 |
| sedutil-doc-1.15.1-r1.apk | 2.8 KiB | 2022-Oct-25 13:04 |
| sedutil-1.15.1-r1.apk | 193.8 KiB | 2022-Oct-25 13:04 |
| secsipidx-libs-1.3.2-r17.apk | 2.4 MiB | 2025-Dec-24 10:53 |
| secsipidx-dev-1.3.2-r17.apk | 5.5 MiB | 2025-Dec-24 10:53 |
| secsipidx-1.3.2-r17.apk | 2.7 MiB | 2025-Dec-24 10:53 |
| sdparm-doc-1.12-r1.apk | 19.1 KiB | 2022-Oct-25 13:04 |
| sdparm-1.12-r1.apk | 135.3 KiB | 2022-Oct-25 13:04 |
| sdl3_image-doc-3.2.4-r0.apk | 1.8 KiB | 2025-Mar-24 22:29 |
| sdl3_image-dev-3.2.4-r0.apk | 11.8 KiB | 2025-Mar-24 22:29 |
| sdl3_image-3.2.4-r0.apk | 77.9 KiB | 2025-Mar-24 22:29 |
| sct-2018.12.18-r1.apk | 3.3 KiB | 2021-Oct-28 20:51 |
| screenkey-pyc-1.5-r7.apk | 72.9 KiB | 2025-Jul-23 02:57 |
| screenkey-doc-1.5-r7.apk | 10.7 KiB | 2025-Jul-23 02:57 |
| screenkey-1.5-r7.apk | 76.1 KiB | 2025-Jul-23 02:57 |
| scooper-doc-1.3-r1.apk | 2.3 KiB | 2023-May-21 12:09 |
| scooper-1.3-r1.apk | 505.8 KiB | 2023-May-21 12:09 |
| schismtracker-doc-20251014-r0.apk | 6.1 KiB | 2025-Oct-26 23:29 |
| schismtracker-20251014-r0.apk | 461.3 KiB | 2025-Oct-26 23:29 |
| scenefx-doc-0.4.1-r0.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| scenefx-dev-0.4.1-r0.apk | 10.6 KiB | 2025-Dec-24 10:53 |
| scenefx-0.4.1-r0.apk | 56.4 KiB | 2025-Dec-24 10:53 |
| scap-workbench-doc-1.2.1-r3.apk | 1.6 MiB | 2024-Oct-17 13:56 |
| scap-workbench-1.2.1-r3.apk | 235.2 KiB | 2024-Oct-17 13:56 |
| scalingo-1.30.0-r15.apk | 5.3 MiB | 2025-Dec-24 10:53 |
| sc-controller-udev-0.5.5-r0.apk | 1.8 KiB | 2025-Dec-24 10:53 |
| sc-controller-pyc-0.5.5-r0.apk | 814.0 KiB | 2025-Dec-24 10:53 |
| sc-controller-0.5.5-r0.apk | 1.3 MiB | 2025-Dec-24 10:53 |
| sblim-wbemcli-doc-1.6.3-r1.apk | 4.3 KiB | 2022-Oct-25 13:04 |
| sblim-wbemcli-1.6.3-r1.apk | 98.9 KiB | 2022-Oct-25 13:04 |
| sblim-sfcc-doc-2.2.8-r3.apk | 34.3 KiB | 2024-Sep-25 14:50 |
| sblim-sfcc-dev-2.2.8-r3.apk | 22.0 KiB | 2024-Sep-25 14:50 |
| sblim-sfcc-2.2.8-r3.apk | 53.7 KiB | 2024-Sep-25 14:50 |
| sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2023-Feb-06 19:25 |
| sblg-0.5.11-r0.apk | 35.0 KiB | 2023-Feb-06 19:25 |
| sbase-doc-0_git20210730-r3.apk | 58.0 KiB | 2024-Sep-29 15:31 |
| sbase-0_git20210730-r3.apk | 121.2 KiB | 2024-Sep-29 15:31 |
| sauerbraten-2020.12.29-r4.apk | 933.8 MiB | 2025-Feb-20 18:45 |
| satellite-openrc-1.0.0-r33.apk | 1.6 KiB | 2025-Dec-24 10:53 |
| satellite-doc-1.0.0-r33.apk | 2.8 KiB | 2025-Dec-24 10:53 |
| satellite-1.0.0-r33.apk | 2.2 MiB | 2025-Dec-24 10:53 |
| sandbar-0.2_git20250909-r0.apk | 14.8 KiB | 2025-Dec-24 10:53 |
| sacc-doc-1.07-r1.apk | 2.6 KiB | 2025-Sep-26 04:02 |
| sacc-1.07-r1.apk | 15.0 KiB | 2025-Sep-26 04:02 |
| saait-doc-0.8-r0.apk | 12.4 KiB | 2024-Jun-27 19:56 |
| saait-0.8-r0.apk | 6.9 KiB | 2024-Jun-27 19:56 |
| s5cmd-2.3.0-r8.apk | 5.0 MiB | 2025-Dec-24 10:53 |
| s-postgray-doc-0.8.3-r0.apk | 9.3 KiB | 2024-Jun-23 02:52 |
| s-postgray-0.8.3-r0.apk | 46.3 KiB | 2024-Jun-23 02:52 |
| s-dkim-sign-doc-0.6.2-r0.apk | 8.3 KiB | 2024-Jun-02 07:03 |
| s-dkim-sign-0.6.2-r0.apk | 57.3 KiB | 2024-Jun-02 07:03 |
| rygel-systemd-45.0-r1.apk | 1.5 KiB | 2025-Nov-18 18:53 |
| rygel-lang-45.0-r1.apk | 583.4 KiB | 2025-Nov-18 18:53 |
| rygel-doc-45.0-r1.apk | 9.4 KiB | 2025-Nov-18 18:53 |
| rygel-dev-45.0-r1.apk | 42.4 KiB | 2025-Nov-18 18:53 |
| rygel-45.0-r1.apk | 752.4 KiB | 2025-Nov-18 18:53 |
| ry-zsh-completion-0.5.2-r1.apk | 2.0 KiB | 2022-Oct-25 13:04 |
| ry-bash-completion-0.5.2-r1.apk | 1.7 KiB | 2022-Oct-25 13:04 |
| ry-0.5.2-r1.apk | 4.4 KiB | 2022-Oct-25 13:04 |
| rvlprog-0.91-r2.apk | 26.1 KiB | 2024-Jul-11 14:44 |
| rustscan-2.4.1-r0.apk | 1.6 MiB | 2025-Dec-24 10:53 |
| rustical-0.9.14-r0.apk | 4.4 MiB | 2025-Nov-02 22:22 |
| rustic-zsh-completion-0.9.3-r0.apk | 12.8 KiB | 2024-Oct-18 07:36 |
| rustic-fish-completion-0.9.3-r0.apk | 16.4 KiB | 2024-Oct-18 07:36 |
| rustic-bash-completion-0.9.3-r0.apk | 8.4 KiB | 2024-Oct-18 07:36 |
| rustic-0.9.3-r0.apk | 5.9 MiB | 2024-Oct-18 07:36 |
| rust-script-0.36.0-r0.apk | 926.3 KiB | 2025-Sep-26 04:02 |
| ruri-doc-3.9.3-r0.apk | 2.0 KiB | 2025-Oct-27 08:46 |
| ruri-3.9.3-r0.apk | 128.2 KiB | 2025-Oct-27 08:46 |
| runst-doc-0.2.0-r0.apk | 7.6 KiB | 2025-Nov-17 05:03 |
| runst-0.2.0-r0.apk | 2.0 MiB | 2025-Nov-17 05:03 |
| ruff-lsp-pyc-0.0.62-r0.apk | 34.7 KiB | 2025-Mar-10 14:12 |
| ruff-lsp-0.0.62-r0.apk | 21.0 KiB | 2025-Mar-10 14:12 |
| ruby-ruby-progressbar-1.13.0-r0.apk | 24.7 KiB | 2025-Jul-07 05:43 |
| ruby-path_expander-2.0.0-r0.apk | 6.4 KiB | 2025-Dec-24 10:53 |
| ruby-minitest-server-1.0.9-r0.apk | 5.1 KiB | 2025-Nov-22 08:51 |
| ruby-minitest-reporters-1.7.1-r0.apk | 19.8 KiB | 2025-Jul-07 05:43 |
| ruby-minitest-proveit-1.0.0-r0.apk | 4.5 KiB | 2025-Jul-07 05:43 |
| ruby-minitest-power_assert-0.3.1-r0.apk | 2.0 KiB | 2025-Jul-07 05:43 |
| ruby-minitest-focus-1.3.1-r0.apk | 4.9 KiB | 2025-Jul-07 05:43 |
| ruby-minitest-autotest-1.2.0-r0.apk | 14.0 KiB | 2025-Dec-24 10:53 |
| ruby-mail-2.9.0-r0.apk | 394.1 KiB | 2025-Oct-26 23:29 |
| ruby-hoe-4.3.0-r0.apk | 27.1 KiB | 2025-Oct-21 04:19 |
| ruby-hashdiff-doc-1.2.1-r0.apk | 2.0 KiB | 2025-Oct-21 04:18 |
| ruby-hashdiff-1.2.1-r0.apk | 8.7 KiB | 2025-Oct-21 04:18 |
| ruby-fast_gettext-3.1.0-r0.apk | 21.9 KiB | 2025-May-25 23:58 |
| ruby-facter-4.10.0-r0.apk | 217.8 KiB | 2025-Jul-28 02:05 |
| ruby-event_emitter-doc-0.2.6-r0.apk | 2.0 KiB | 2025-May-26 00:00 |
| ruby-event_emitter-0.2.6-r0.apk | 2.8 KiB | 2025-May-26 00:00 |
| ruby-dry-inflector-doc-1.2.0-r0.apk | 2.0 KiB | 2025-Oct-21 04:16 |
| ruby-dry-inflector-1.2.0-r0.apk | 7.9 KiB | 2025-Oct-21 04:16 |
| ruby-crack-doc-1.0.1-r0.apk | 2.0 KiB | 2025-Oct-21 04:15 |
| ruby-crack-1.0.1-r0.apk | 2.1 KiB | 2025-Oct-21 04:15 |
| ruby-coderay-doc-1.1.3-r0.apk | 2.0 KiB | 2025-May-25 23:57 |
| ruby-coderay-1.1.3-r0.apk | 88.8 KiB | 2025-May-25 23:57 |
| ruby-byebug-12.0.0-r0.apk | 126.2 KiB | 2025-Oct-21 04:13 |
| ruby-build-runtime-20250925-r0.apk | 1.1 KiB | 2025-Sep-28 15:59 |
| ruby-build-doc-20250925-r0.apk | 4.5 KiB | 2025-Sep-28 15:59 |
| ruby-build-20250925-r0.apk | 92.8 KiB | 2025-Sep-28 15:59 |
| ruby-benchmark-ips-doc-2.14.0-r0.apk | 2.0 KiB | 2025-May-25 23:54 |
| ruby-benchmark-ips-2.14.0-r0.apk | 14.1 KiB | 2025-May-25 23:54 |
| ruby-appraisal-doc-2.5.0-r0.apk | 2.1 KiB | 2025-May-25 23:52 |
| ruby-appraisal-2.5.0-r0.apk | 10.6 KiB | 2025-May-25 23:52 |
| ruby-ansi-1.5.0-r0.apk | 25.6 KiB | 2025-Jul-07 05:43 |
| rtw89-src-7_p20230725-r0.apk | 758.5 KiB | 2023-Jul-26 09:13 |
| rtptools-doc-1.22-r2.apk | 12.4 KiB | 2022-Oct-25 13:04 |
| rtptools-1.22-r2.apk | 26.1 KiB | 2022-Oct-25 13:04 |
| rtmidi-dev-6.0.0-r0.apk | 13.9 KiB | 2023-Aug-19 19:23 |
| rtmidi-6.0.0-r0.apk | 29.8 KiB | 2023-Aug-19 19:23 |
| rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2023-Jul-26 09:13 |
| rtl8192eu-src-5.11.2.3_git20251017-r0.apk | 2.6 MiB | 2025-Dec-24 10:53 |
| rtl-power-fftw-doc-20200601-r4.apk | 7.9 KiB | 2024-Apr-30 01:07 |
| rtl-power-fftw-20200601-r4.apk | 60.9 KiB | 2024-Apr-30 01:07 |
| rt6-6.0.1-r0.apk | 12.3 MiB | 2025-Aug-12 12:35 |
| rt5-5.0.8-r0.apk | 16.9 MiB | 2025-Jun-15 05:41 |
| rsstail-doc-2.2-r0.apk | 2.5 KiB | 2025-Feb-17 12:15 |
| rsstail-2.2-r0.apk | 7.8 KiB | 2025-Feb-17 12:15 |
| rss-email-doc-0.5.1-r0.apk | 6.1 KiB | 2025-Aug-10 20:15 |
| rss-email-0.5.1-r0.apk | 2.2 MiB | 2025-Aug-10 20:15 |
| rpi-imager-doc-1.9.0-r1.apk | 2.8 KiB | 2025-Jul-24 08:10 |
| rpi-imager-1.9.0-r1.apk | 695.0 KiB | 2025-Jul-24 08:10 |
| rpg-cli-1.2.0-r0.apk | 608.4 KiB | 2025-Aug-01 12:02 |
| rot8-doc-1.0.0-r0.apk | 2.0 KiB | 2025-Jul-28 22:28 |
| rot8-1.0.0-r0.apk | 789.4 KiB | 2025-Jul-28 22:28 |
| rosdep-pyc-0.19.0-r6.apk | 118.7 KiB | 2024-Sep-02 20:34 |
| rosdep-0.19.0-r6.apk | 65.9 KiB | 2024-Sep-02 20:34 |
| roll-doc-2.6.1-r1.apk | 11.0 KiB | 2025-Oct-15 12:16 |
| roll-bash-completion-2.6.1-r1.apk | 1.6 KiB | 2025-Oct-15 12:16 |
| roll-2.6.1-r1.apk | 12.3 KiB | 2025-Oct-15 12:16 |
| rofi-pass-doc-2.0.2-r2.apk | 4.9 KiB | 2025-Aug-01 12:02 |
| rofi-pass-2.0.2-r2.apk | 8.7 KiB | 2025-Aug-01 12:02 |
| rofi-json-menu-0.2.0-r1.apk | 4.9 KiB | 2024-Sep-30 01:50 |
| rocm-core-doc-6.4.3-r0.apk | 2.2 KiB | 2025-Aug-18 11:32 |
| rocm-core-dev-6.4.3-r0.apk | 6.3 KiB | 2025-Aug-18 11:32 |
| rocm-core-6.4.3-r0.apk | 7.2 KiB | 2025-Aug-18 11:32 |
| rocm-cmake-doc-6.4.3-r0.apk | 2.2 KiB | 2025-Aug-18 11:32 |
| rocm-cmake-6.4.3-r0.apk | 28.2 KiB | 2025-Aug-18 11:32 |
| rmlint-shredder-pyc-2.10.2-r2.apk | 124.2 KiB | 2024-Sep-30 02:20 |
| rmlint-shredder-2.10.2-r2.apk | 95.7 KiB | 2024-Sep-30 02:20 |
| rmlint-lang-2.10.2-r2.apk | 18.6 KiB | 2024-Sep-30 02:20 |
| rmlint-doc-2.10.2-r2.apk | 17.5 KiB | 2024-Sep-30 02:20 |
| rmlint-2.10.2-r2.apk | 138.7 KiB | 2024-Sep-30 02:20 |
| rke-doc-1.4.3-r20.apk | 2.7 KiB | 2025-Dec-24 10:53 |
| rke-1.4.3-r20.apk | 19.5 MiB | 2025-Dec-24 10:53 |
| rkdeveloptool-udev-1.1.0-r2.apk | 1.5 KiB | 2025-Nov-27 20:09 |
| rkdeveloptool-doc-1.1.0-r2.apk | 2.7 KiB | 2025-Nov-27 20:09 |
| rkdeveloptool-1.1.0-r2.apk | 57.6 KiB | 2025-Nov-27 20:09 |
| rizin-libs-0.8.1-r0.apk | 5.4 MiB | 2025-Jul-01 13:33 |
| rizin-doc-0.8.1-r0.apk | 19.0 KiB | 2025-Jul-01 13:33 |
| rizin-dev-0.8.1-r0.apk | 322.3 KiB | 2025-Jul-01 13:33 |
| rizin-cutter-dev-2.4.1-r0.apk | 102.9 KiB | 2025-Jul-01 13:33 |
| rizin-cutter-2.4.1-r0.apk | 2.5 MiB | 2025-Jul-01 13:33 |
| rizin-0.8.1-r0.apk | 2.7 MiB | 2025-Jul-01 13:33 |
| river-shifttags-doc-0.2.1-r1.apk | 2.1 KiB | 2025-May-15 20:20 |
| river-shifttags-0.2.1-r1.apk | 6.0 KiB | 2025-May-15 20:20 |
| rio-terminfo-0.2.35-r0.apk | 3.2 KiB | 2025-Nov-17 05:03 |
| rio-doc-0.2.35-r0.apk | 2.0 KiB | 2025-Nov-17 05:03 |
| rio-0.2.35-r0.apk | 10.4 MiB | 2025-Nov-17 05:03 |
| rinetd-openrc-0.73-r0.apk | 1.5 KiB | 2023-Dec-14 16:55 |
| rinetd-doc-0.73-r0.apk | 16.2 KiB | 2023-Dec-14 16:55 |
| rinetd-0.73-r0.apk | 14.1 KiB | 2023-Dec-14 16:55 |
| rhasspy-nlu-pyc-0.4.0-r3.apk | 72.6 KiB | 2024-Apr-30 01:07 |
| rhasspy-nlu-0.4.0-r3.apk | 43.3 KiB | 2024-Apr-30 01:07 |
| rgxg-doc-0.1.2-r2.apk | 12.1 KiB | 2023-May-21 12:09 |
| rgxg-dev-0.1.2-r2.apk | 3.3 KiB | 2023-May-21 12:09 |
| rgxg-0.1.2-r2.apk | 13.2 KiB | 2023-May-21 12:09 |
| restinio-dev-0.6.19-r1.apk | 267.6 KiB | 2024-Dec-14 22:22 |
| restinio-0.6.19-r1.apk | 996 B | 2024-Dec-14 22:22 |
| restic.mk-0.4.0-r0.apk | 2.7 KiB | 2023-May-21 12:09 |
| restart-services-doc-0.17.0-r0.apk | 5.9 KiB | 2021-Dec-22 12:37 |
| restart-services-0.17.0-r0.apk | 11.5 KiB | 2021-Dec-22 12:37 |
| responder-3.1.7.0-r0.apk | 747.0 KiB | 2025-Oct-15 12:16 |
| resources-lang-1.9.1-r0.apk | 210.5 KiB | 2025-Nov-22 08:51 |
| resources-1.9.1-r0.apk | 2.5 MiB | 2025-Nov-22 08:51 |
| reprotest-pyc-0.7.32-r0.apk | 102.7 KiB | 2025-Nov-02 22:22 |
| reprotest-0.7.32-r0.apk | 80.0 KiB | 2025-Nov-02 22:22 |
| repowerd-openrc-2023.07-r3.apk | 1.4 KiB | 2025-Feb-17 12:15 |
| repowerd-2023.07-r3.apk | 872.6 KiB | 2025-Feb-17 12:15 |
| repo-doc-2.60-r0.apk | 39.3 KiB | 2025-Dec-24 10:53 |
| repo-2.60-r0.apk | 16.6 KiB | 2025-Dec-24 10:53 |
| repgrep-zsh-completion-0.15.0-r0.apk | 1.4 KiB | 2024-Jan-04 19:37 |
| repgrep-fish-completion-0.15.0-r0.apk | 3.9 KiB | 2024-Jan-04 19:37 |
| repgrep-doc-0.15.0-r0.apk | 6.2 KiB | 2024-Jan-04 19:37 |
| repgrep-bash-completion-0.15.0-r0.apk | 1.4 KiB | 2024-Jan-04 19:37 |
| repgrep-0.15.0-r0.apk | 1.2 MiB | 2024-Jan-04 19:37 |
| remind-caldav-pyc-0.8.0-r4.apk | 5.9 KiB | 2024-Aug-30 21:44 |
| remind-caldav-0.8.0-r4.apk | 17.4 KiB | 2024-Aug-30 21:44 |
| remco-openrc-0.12.5-r5.apk | 1.4 KiB | 2025-Dec-24 10:53 |
| remco-doc-0.12.5-r5.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| remco-0.12.5-r5.apk | 9.5 MiB | 2025-Dec-24 10:53 |
| remake-make-1.5-r1.apk | 1.3 KiB | 2022-Oct-25 13:04 |
| remake-doc-1.5-r1.apk | 201.4 KiB | 2022-Oct-25 13:04 |
| remake-dev-1.5-r1.apk | 2.7 KiB | 2022-Oct-25 13:04 |
| remake-1.5-r1.apk | 126.6 KiB | 2022-Oct-25 13:04 |
| regclient-0.11.1-r0.apk | 13.4 MiB | 2025-Dec-24 10:53 |
| regal-zsh-completion-0.36.1-r1.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| regal-fish-completion-0.36.1-r1.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| regal-bash-completion-0.36.1-r1.apk | 5.8 KiB | 2025-Dec-24 10:53 |
| regal-0.36.1-r1.apk | 11.5 MiB | 2025-Dec-24 10:53 |
| refine-lang-0.6.3-r0.apk | 31.6 KiB | 2025-Nov-21 18:04 |
| refine-0.6.3-r0.apk | 34.0 KiB | 2025-Nov-21 18:04 |
| redlib-0.36.0-r0.apk | 2.8 MiB | 2025-Oct-27 06:08 |
| redhat-fonts-4.1.0-r1.apk | 808.7 KiB | 2025-Aug-10 16:56 |
| recoll-doc-1.37.5-r1.apk | 20.9 KiB | 2024-Apr-30 01:07 |
| recoll-dev-1.37.5-r1.apk | 52.8 KiB | 2024-Apr-30 01:07 |
| recoll-1.37.5-r1.apk | 2.8 MiB | 2024-Apr-30 01:07 |
| reaver-wps-fork-t6x-1.6.6-r1.apk | 380.7 KiB | 2022-Oct-25 13:04 |
| reap-doc-0.2-r0.apk | 2.4 KiB | 2025-Sep-26 04:02 |
| reap-0.2-r0.apk | 4.6 KiB | 2025-Sep-26 04:02 |
| readosm-dev-1.1.0-r3.apk | 31.9 KiB | 2025-May-15 20:20 |
| readosm-1.1.0-r3.apk | 14.4 KiB | 2025-May-15 20:20 |
| reaction-tools-2.2.1-r0.apk | 4.7 KiB | 2025-Sep-28 04:37 |
| reaction-openrc-2.2.1-r0.apk | 1.6 KiB | 2025-Sep-28 04:37 |
| reaction-2.2.1-r0.apk | 1.5 MiB | 2025-Sep-28 04:37 |
| rdrview-doc-0.1.3-r0.apk | 3.4 KiB | 2025-Feb-22 20:05 |
| rdrview-0.1.3-r0.apk | 27.8 KiB | 2025-Feb-22 20:05 |
| rdedup-3.2.1-r5.apk | 806.5 KiB | 2024-Sep-29 05:19 |
| rclone-browser-1.8.0-r1.apk | 335.9 KiB | 2025-Apr-09 01:46 |
| razercfg-pyc-0.42-r7.apk | 35.4 KiB | 2024-Oct-03 14:27 |
| razercfg-openrc-0.42-r7.apk | 1.5 KiB | 2024-Oct-03 14:27 |
| razercfg-gui-0.42-r7.apk | 18.7 KiB | 2024-Oct-03 14:27 |
| razercfg-0.42-r7.apk | 78.9 KiB | 2024-Oct-03 14:27 |
| rauc-service-1.10.1-r0.apk | 3.5 KiB | 2023-Aug-19 19:23 |
| rauc-doc-1.10.1-r0.apk | 3.9 KiB | 2023-Aug-19 19:23 |
| rauc-1.10.1-r0.apk | 141.7 KiB | 2023-Aug-19 19:23 |
| rattler-build-zsh-completion-0.18.0-r0.apk | 5.2 KiB | 2024-Jun-19 21:46 |
| rattler-build-fish-completion-0.18.0-r0.apk | 4.5 KiB | 2024-Jun-19 21:46 |
| rattler-build-doc-0.18.0-r0.apk | 6.5 KiB | 2024-Jun-19 21:46 |
| rattler-build-bash-completion-0.18.0-r0.apk | 3.4 KiB | 2024-Jun-19 21:46 |
| rattler-build-0.18.0-r0.apk | 5.7 MiB | 2024-Jun-19 21:46 |
| raspberrypi-usbboot-20250227-r0.apk | 885.6 KiB | 2025-Jun-09 10:00 |
| rankwidth-static-0.9-r4.apk | 6.2 KiB | 2025-Oct-28 02:01 |
| rankwidth-libs-0.9-r4.apk | 4.6 KiB | 2025-Oct-28 02:01 |
| rankwidth-doc-0.9-r4.apk | 2.7 KiB | 2025-Oct-28 02:01 |
| rankwidth-dev-0.9-r4.apk | 2.7 KiB | 2025-Oct-28 02:01 |
| rankwidth-0.9-r4.apk | 5.0 KiB | 2025-Oct-28 02:01 |
| randrctl-pyc-1.10.0-r0.apk | 30.9 KiB | 2024-Nov-17 22:16 |
| randrctl-1.10.0-r0.apk | 27.7 KiB | 2024-Nov-17 22:16 |
| raku-system-query-doc-0.1.6-r1.apk | 2.3 KiB | 2025-Aug-29 10:18 |
| raku-system-query-0.1.6-r1.apk | 16.5 KiB | 2025-Aug-29 10:18 |
| raku-distribution-builder-makefromjson-doc-0.6-r..> | 2.1 KiB | 2025-Aug-29 10:18 |
| raku-distribution-builder-makefromjson-0.6-r1.apk | 41.1 KiB | 2025-Aug-29 10:18 |
| quodlibet-zsh-completion-4.7.1-r0.apk | 2.5 KiB | 2025-Sep-26 04:02 |
| quodlibet-pyc-4.7.1-r0.apk | 1.8 MiB | 2025-Sep-26 04:02 |
| quodlibet-lang-4.7.1-r0.apk | 1.4 MiB | 2025-Sep-26 04:02 |
| quodlibet-doc-4.7.1-r0.apk | 8.4 KiB | 2025-Sep-26 04:02 |
| quodlibet-bash-completion-4.7.1-r0.apk | 4.2 KiB | 2025-Sep-26 04:02 |
| quodlibet-4.7.1-r0.apk | 1.0 MiB | 2025-Sep-26 04:02 |
| quickemu-doc-4.9.7-r0.apk | 24.4 KiB | 2025-Dec-24 10:53 |
| quickemu-4.9.7-r0.apk | 56.0 KiB | 2025-Dec-24 10:53 |
| queercat-1.0.0-r0.apk | 7.2 KiB | 2023-Aug-19 19:23 |
| quakespasm-0.96.3-r0.apk | 503.3 KiB | 2024-Aug-07 01:50 |
| qtpass-doc-1.4.0-r0.apk | 1.9 KiB | 2023-Dec-14 16:55 |
| qtpass-1.4.0-r0.apk | 434.0 KiB | 2023-Dec-14 16:55 |
| qtox-1.18.3-r0.apk | 5.2 MiB | 2025-Nov-29 17:11 |
| qtmir-dev-0.7.2_git20250407-r5.apk | 6.4 KiB | 2025-Dec-24 10:53 |
| qtmir-0.7.2_git20250407-r5.apk | 514.7 KiB | 2025-Dec-24 10:53 |
| qtile-pyc-0.33.0-r0.apk | 857.7 KiB | 2025-Sep-26 04:02 |
| qtile-0.33.0-r0.apk | 468.7 KiB | 2025-Sep-26 04:02 |
| qt6ct-0.11-r2.apk | 188.1 KiB | 2025-Dec-24 10:53 |
| qt6-qtgraphs-dev-6.10.1-r0.apk | 118.1 KiB | 2025-Dec-24 10:53 |
| qt6-qtgraphs-6.10.1-r0.apk | 1.1 MiB | 2025-Dec-24 10:53 |
| qt5ct-dev-1.9-r0.apk | 1.3 KiB | 2025-Nov-20 06:04 |
| qt5ct-1.9-r0.apk | 221.2 KiB | 2025-Nov-20 06:04 |
| qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.7 KiB | 2023-May-01 21:45 |
| qt-wayland-shell-helpers-0.1.1-r3.apk | 12.9 KiB | 2023-May-01 21:45 |
| qsynth-doc-1.0.3-r0.apk | 4.1 KiB | 2025-Nov-25 11:04 |
| qsynth-1.0.3-r0.apk | 422.7 KiB | 2025-Nov-25 11:04 |
| qstardict-doc-2.0.2-r1.apk | 10.4 KiB | 2024-Nov-25 09:47 |
| qstardict-2.0.2-r1.apk | 456.3 KiB | 2024-Nov-25 09:47 |
| qsstv-9.5.8-r2.apk | 922.0 KiB | 2023-Mar-17 19:11 |
| qspectrumanalyzer-pyc-2.2.0-r5.apk | 62.0 KiB | 2024-Aug-07 01:50 |
| qspectrumanalyzer-2.2.0-r5.apk | 53.6 KiB | 2024-Aug-07 01:50 |
| qrcodegen-doc-1.8.0-r0.apk | 3.3 KiB | 2025-Nov-29 18:56 |
| qrcodegen-dev-1.8.0-r0.apk | 26.3 KiB | 2025-Nov-29 18:56 |
| qrcodegen-1.8.0-r0.apk | 11.4 KiB | 2025-Nov-29 18:56 |
| qqc2-suru-style-0.20230206-r1.apk | 173.2 KiB | 2024-Jun-22 10:59 |
| qperf-doc-0.4.11-r2.apk | 5.3 KiB | 2025-May-15 20:20 |
| qperf-0.4.11-r2.apk | 35.9 KiB | 2025-May-15 20:20 |
| qownnotes-lang-25.12.5-r0.apk | 4.9 MiB | 2025-Dec-24 10:53 |
| qownnotes-25.12.5-r0.apk | 2.5 MiB | 2025-Dec-24 10:53 |
| qoiconv-0.0.0_git20230312-r0.apk | 27.8 KiB | 2023-Mar-17 06:21 |
| qoi-dev-0.0.0_git20230312-r0.apk | 6.6 KiB | 2023-Mar-17 06:21 |
| qoi-0.0.0_git20230312-r0.apk | 1.2 KiB | 2023-Mar-17 06:21 |
| qml-box2d-0_git20180406-r0.apk | 144.5 KiB | 2021-Jul-27 08:12 |
| qflipper-gui-1.3.3-r1.apk | 1.1 MiB | 2024-Oct-02 18:19 |
| qflipper-1.3.3-r1.apk | 486.2 KiB | 2024-Oct-02 18:19 |
| qdjango-dev-0.6.2-r1.apk | 13.8 KiB | 2024-Jun-22 10:59 |
| qdjango-0.6.2-r1.apk | 103.1 KiB | 2024-Jun-22 10:59 |
| qbittorrent-cli-2.2.0-r5.apk | 5.5 MiB | 2025-Dec-24 10:53 |
| qadwaitadecorations-0.1.7-r1.apk | 47.7 KiB | 2025-Oct-05 13:07 |
| pyradio-pyc-0.9.3.11-r0.apk | 810.1 KiB | 2024-Oct-17 19:57 |
| pyradio-doc-0.9.3.11-r0.apk | 112.4 KiB | 2024-Oct-17 19:57 |
| pyradio-0.9.3.11-r0.apk | 870.5 KiB | 2024-Oct-17 19:57 |
| pypykatz-pyc-0.6.11-r1.apk | 720.9 KiB | 2025-May-29 12:38 |
| pypykatz-0.6.11-r1.apk | 315.1 KiB | 2025-May-29 12:38 |
| pyonji-0.1.0-r11.apk | 2.8 MiB | 2025-Dec-24 10:53 |
| pympress-pyc-1.8.6-r0.apk | 181.6 KiB | 2025-Nov-10 09:10 |
| pympress-lang-1.8.6-r0.apk | 58.5 KiB | 2025-Nov-10 09:10 |
| pympress-doc-1.8.6-r0.apk | 74.2 KiB | 2025-Nov-10 09:10 |
| pympress-1.8.6-r0.apk | 179.3 KiB | 2025-Nov-10 09:10 |
| pyinfra-pyc-3.3.1-r0.apk | 360.8 KiB | 2025-Jul-16 12:26 |
| pyinfra-3.3.1-r0.apk | 191.7 KiB | 2025-Jul-16 12:26 |
| py3-zope-schema-pyc-7.0.1-r3.apk | 60.8 KiB | 2024-Aug-07 01:50 |
| py3-zope-schema-7.0.1-r3.apk | 45.0 KiB | 2024-Aug-07 01:50 |
| py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 7.7 KiB | 2024-Sep-02 20:39 |
| py3-zope-i18nmessageid-6.1.0-r2.apk | 15.2 KiB | 2024-Sep-02 20:39 |
| py3-zope-configuration-pyc-7.0-r0.apk | 48.7 KiB | 2025-Dec-24 10:53 |
| py3-zope-configuration-7.0-r0.apk | 38.4 KiB | 2025-Dec-24 10:53 |
| py3-zipfile2-pyc-0.0.12-r0.apk | 28.7 KiB | 2024-Jul-23 01:14 |
| py3-zipfile2-0.0.12-r0.apk | 44.9 KiB | 2024-Jul-23 01:14 |
| py3-zimscraperlib-pyc-3.4.0-r0.apk | 68.1 KiB | 2024-Nov-06 10:08 |
| py3-zimscraperlib-3.4.0-r0.apk | 51.4 KiB | 2024-Nov-06 10:08 |
| py3-youtube-search-pyc-1.6.6-r5.apk | 95.0 KiB | 2025-May-15 20:20 |
| py3-youtube-search-1.6.6-r5.apk | 77.8 KiB | 2025-May-15 20:20 |
| py3-yosys-0.57-r0.apk | 556.3 KiB | 2025-Oct-26 23:29 |
| py3-yara-4.5.4-r0.apk | 17.6 KiB | 2025-Aug-13 19:49 |
| py3-yapsy-pyc-1.12.2-r7.apk | 46.9 KiB | 2024-Apr-30 01:07 |
| py3-yapsy-1.12.2-r7.apk | 32.0 KiB | 2024-Apr-30 01:07 |
| py3-xsdata-pyc-25.7-r0.apk | 392.4 KiB | 2025-Jul-07 20:49 |
| py3-xsdata-25.7-r0.apk | 189.4 KiB | 2025-Jul-07 20:49 |
| py3-xdoctest-1.2.0-r0.apk | 312.2 KiB | 2024-Nov-21 13:43 |
| py3-xapp-2.4.2-r0.apk | 33.4 KiB | 2024-Nov-12 11:30 |
| py3-x-wr-timezone-pyc-2.0.1-r0.apk | 6.8 KiB | 2025-Feb-09 15:14 |
| py3-x-wr-timezone-2.0.1-r0.apk | 11.4 KiB | 2025-Feb-09 15:14 |
| py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.4 KiB | 2024-Oct-24 17:28 |
| py3-wtf-peewee-3.0.6-r0.apk | 12.5 KiB | 2024-Oct-24 17:28 |
| py3-wstools-pyc-0.4.10-r7.apk | 110.5 KiB | 2024-Aug-07 01:50 |
| py3-wstools-0.4.10-r7.apk | 52.9 KiB | 2024-Aug-07 01:50 |
| py3-wsgiprox-pyc-1.5.2-r1.apk | 27.9 KiB | 2024-Jul-11 05:04 |
| py3-wsgiprox-1.5.2-r1.apk | 16.4 KiB | 2024-Jul-11 05:04 |
| py3-winacl-pyc-0.1.9-r1.apk | 131.0 KiB | 2025-May-29 12:38 |
| py3-winacl-0.1.9-r1.apk | 82.4 KiB | 2025-May-29 12:38 |
| py3-wikipedia-pyc-1.4.0-r0.apk | 15.9 KiB | 2025-Sep-26 04:02 |
| py3-wikipedia-doc-1.4.0-r0.apk | 3.9 KiB | 2025-Sep-26 04:02 |
| py3-wikipedia-1.4.0-r0.apk | 12.0 KiB | 2025-Sep-26 04:02 |
| py3-wifi-pyc-0.3.8-r7.apk | 13.5 KiB | 2024-Aug-08 18:19 |
| py3-wifi-0.3.8-r7.apk | 12.8 KiB | 2024-Aug-08 18:19 |
| py3-wgconfig-pyc-1.1.0-r0.apk | 11.4 KiB | 2025-Jan-29 06:50 |
| py3-wgconfig-1.1.0-r0.apk | 21.7 KiB | 2025-Jan-29 06:50 |
| py3-wg-netns-pyc-2.3.1-r1.apk | 13.1 KiB | 2024-Apr-30 01:07 |
| py3-wg-netns-2.3.1-r1.apk | 7.3 KiB | 2024-Apr-30 01:07 |
| py3-wbdata-pyc-1.0.0-r1.apk | 19.3 KiB | 2024-Apr-30 01:07 |
| py3-wbdata-1.0.0-r1.apk | 18.2 KiB | 2024-Apr-30 01:07 |
| py3-ward-pyc-0.67.0_beta0-r2.apk | 79.3 KiB | 2024-Apr-30 01:07 |
| py3-ward-0.67.0_beta0-r2.apk | 40.4 KiB | 2024-Apr-30 01:07 |
| py3-visitor-pyc-0.1.3-r7.apk | 2.4 KiB | 2024-Aug-07 01:50 |
| py3-visitor-0.1.3-r7.apk | 4.4 KiB | 2024-Aug-07 01:50 |
| py3-virtualenvwrapper-pyc-6.1.1-r1.apk | 11.5 KiB | 2025-Dec-24 10:53 |
| py3-virtualenvwrapper-6.1.1-r1.apk | 21.4 KiB | 2025-Dec-24 10:53 |
| py3-venusian-pyc-3.1.1-r0.apk | 12.2 KiB | 2024-Dec-07 21:14 |
| py3-venusian-3.1.1-r0.apk | 14.1 KiB | 2024-Dec-07 21:14 |
| py3-vdf-pyc-3.4-r2.apk | 16.3 KiB | 2025-Oct-16 22:08 |
| py3-vdf-3.4-r2.apk | 10.9 KiB | 2025-Oct-16 22:08 |
| py3-vatnumber-pyc-1.2-r9.apk | 8.4 KiB | 2024-Aug-07 15:51 |
| py3-vatnumber-1.2-r9.apk | 18.4 KiB | 2024-Aug-07 15:51 |
| py3-utc-pyc-0.0.3-r9.apk | 2.5 KiB | 2024-Aug-08 18:19 |
| py3-utc-0.0.3-r9.apk | 3.2 KiB | 2024-Aug-08 18:19 |
| py3-us-pyc-3.2.0-r0.apk | 14.9 KiB | 2024-Sep-24 21:56 |
| py3-us-3.2.0-r0.apk | 14.1 KiB | 2024-Sep-24 21:56 |
| py3-urlobject-pyc-2.4.3-r9.apk | 24.4 KiB | 2024-Aug-30 21:44 |
| py3-urlobject-2.4.3-r9.apk | 14.5 KiB | 2024-Aug-30 21:44 |
| py3-uptime-pyc-3.0.1-r9.apk | 8.4 KiB | 2024-Aug-07 01:50 |
| py3-uptime-3.0.1-r9.apk | 9.3 KiB | 2024-Aug-07 01:50 |
| py3-unidns-pyc-0.0.4-r0.apk | 24.7 KiB | 2025-Nov-03 12:19 |
| py3-unidns-examples-0.0.4-r0.apk | 2.3 KiB | 2025-Nov-03 12:19 |
| py3-unidns-0.0.4-r0.apk | 14.1 KiB | 2025-Nov-03 12:19 |
| py3-unicrypto-pyc-0.0.12-r0.apk | 91.9 KiB | 2025-Nov-03 12:19 |
| py3-unicrypto-0.0.12-r0.apk | 58.3 KiB | 2025-Nov-03 12:19 |
| py3-unearth-pyc-0.18.0-r0.apk | 82.1 KiB | 2025-Oct-15 12:16 |
| py3-unearth-0.18.0-r0.apk | 40.5 KiB | 2025-Oct-15 12:16 |
| py3-uc-micro-py-pyc-1.0.3-r0.apk | 4.3 KiB | 2025-Oct-27 08:46 |
| py3-uc-micro-py-1.0.3-r0.apk | 6.3 KiB | 2025-Oct-27 08:46 |
| py3-uacme-desec-pyc-1.2.1-r0.apk | 6.5 KiB | 2024-Oct-13 13:12 |
| py3-uacme-desec-doc-1.2.1-r0.apk | 1.9 KiB | 2024-Oct-13 13:12 |
| py3-uacme-desec-1.2.1-r0.apk | 5.4 KiB | 2024-Oct-13 13:12 |
| py3-u-msgpack-pyc-2.8.0-r2.apk | 16.0 KiB | 2024-Aug-07 01:50 |
| py3-u-msgpack-2.8.0-r2.apk | 10.6 KiB | 2024-Aug-07 01:50 |
| py3-typing_inspect-pyc-0.9.0-r2.apk | 13.9 KiB | 2024-Apr-30 01:07 |
| py3-typing_inspect-0.9.0-r2.apk | 9.6 KiB | 2024-Apr-30 01:07 |
| py3-twiggy-pyc-0.5.1-r4.apk | 38.4 KiB | 2024-Aug-08 18:19 |
| py3-twiggy-0.5.1-r4.apk | 23.9 KiB | 2024-Aug-08 18:19 |
| py3-truststore-pyc-0.10.1-r0.apk | 25.2 KiB | 2025-Feb-17 12:15 |
| py3-truststore-0.10.1-r0.apk | 17.2 KiB | 2025-Feb-17 12:15 |
| py3-trivup-pyc-0.12.2-r2.apk | 54.6 KiB | 2024-Aug-07 01:50 |
| py3-trivup-0.12.2-r2.apk | 33.8 KiB | 2024-Aug-07 01:50 |
| py3-translationstring-pyc-1.4-r4.apk | 8.5 KiB | 2024-Aug-07 01:50 |
| py3-translationstring-1.4-r4.apk | 8.9 KiB | 2024-Aug-07 01:50 |
| py3-transitions-pyc-0.9.2-r0.apk | 128.5 KiB | 2024-Sep-20 00:04 |
| py3-transitions-0.9.2-r0.apk | 97.5 KiB | 2024-Sep-20 00:04 |
| py3-trakit-pyc-0.2.5-r0.apk | 16.4 KiB | 2025-Nov-24 00:21 |
| py3-trakit-0.2.5-r0.apk | 19.0 KiB | 2025-Nov-24 00:21 |
| py3-tpm2-pytss-pyc-2.3.0-r1.apk | 235.8 KiB | 2024-Sep-21 00:25 |
| py3-tpm2-pytss-2.3.0-r1.apk | 296.2 KiB | 2024-Sep-21 00:25 |
| py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.5 KiB | 2024-Aug-07 01:50 |
| py3-tlslite-ng-0.7.6-r8.apk | 178.2 KiB | 2024-Aug-07 01:50 |
| py3-tls_parser-pyc-2.0.2-r0.apk | 16.9 KiB | 2025-Jul-16 12:26 |
| py3-tls_parser-2.0.2-r0.apk | 10.0 KiB | 2025-Jul-16 12:26 |
| py3-timeago-pyc-1.0.16-r0.apk | 27.4 KiB | 2024-May-07 08:33 |
| py3-timeago-doc-1.0.16-r0.apk | 2.6 KiB | 2024-May-07 08:33 |
| py3-timeago-1.0.16-r0.apk | 23.3 KiB | 2024-May-07 08:33 |
| py3-tidalapi-pyc-0.8.4-r0.apk | 90.5 KiB | 2025-Jul-16 12:26 |
| py3-tidalapi-0.8.4-r0.apk | 49.9 KiB | 2025-Jul-16 12:26 |
| py3-ticket-auth-pyc-0.1.4-r9.apk | 6.1 KiB | 2024-Aug-08 18:23 |
| py3-ticket-auth-0.1.4-r9.apk | 5.7 KiB | 2024-Aug-08 18:23 |
| py3-thefuzz-pyc-0.22.1-r1.apk | 8.7 KiB | 2024-Apr-30 01:07 |
| py3-thefuzz-0.22.1-r1.apk | 9.7 KiB | 2024-Apr-30 01:07 |
| py3-textual-pyc-5.3.0-r0.apk | 1.2 MiB | 2025-Oct-27 08:46 |
| py3-textual-5.3.0-r0.apk | 611.5 KiB | 2025-Oct-27 08:46 |
| py3-testresources-pyc-2.0.1-r6.apk | 15.7 KiB | 2024-Jul-10 03:13 |
| py3-testresources-2.0.1-r6.apk | 17.1 KiB | 2024-Jul-10 03:13 |
| py3-teletype-pyc-1.3.4-r3.apk | 20.2 KiB | 2024-Apr-30 01:07 |
| py3-teletype-1.3.4-r3.apk | 15.1 KiB | 2024-Apr-30 01:07 |
| py3-telemetrix-pyc-1.20-r3.apk | 30.8 KiB | 2024-Aug-07 01:50 |
| py3-telemetrix-1.20-r3.apk | 20.7 KiB | 2024-Aug-07 01:50 |
| py3-telegram-text-pyc-0.2.0-r1.apk | 12.7 KiB | 2024-Apr-30 01:07 |
| py3-telegram-text-0.2.0-r1.apk | 8.9 KiB | 2024-Apr-30 01:07 |
| py3-telegram-bot-pyc-22.5-r0.apk | 802.2 KiB | 2025-Nov-20 06:04 |
| py3-telegram-bot-22.5-r0.apk | 497.2 KiB | 2025-Nov-20 06:04 |
| py3-tasklib-pyc-2.5.1-r2.apk | 51.2 KiB | 2024-Apr-30 01:07 |
| py3-tasklib-2.5.1-r2.apk | 22.8 KiB | 2024-Apr-30 01:07 |
| py3-tailer-pyc-0.4.1-r7.apk | 6.4 KiB | 2024-Apr-30 01:07 |
| py3-tailer-0.4.1-r7.apk | 6.6 KiB | 2024-Apr-30 01:07 |
| py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk | 5.4 KiB | 2025-Aug-10 16:56 |
| py3-synapse-auto-accept-invite-1.2.0-r1.apk | 9.5 KiB | 2025-Aug-10 16:56 |
| py3-svgpath-pyc-7.0-r0.apk | 23.6 KiB | 2025-Jul-08 06:49 |
| py3-svgpath-7.0-r0.apk | 18.3 KiB | 2025-Jul-08 06:49 |
| py3-svglib-pyc-1.5.1-r0.apk | 42.2 KiB | 2025-Jun-10 19:26 |
| py3-svglib-doc-1.5.1-r0.apk | 1.8 KiB | 2025-Jun-10 19:26 |
| py3-svglib-1.5.1-r0.apk | 29.6 KiB | 2025-Jun-10 19:26 |
| py3-sstash-pyc-0.17-r9.apk | 10.0 KiB | 2024-Aug-30 21:44 |
| py3-sstash-0.17-r9.apk | 7.5 KiB | 2024-Aug-30 21:44 |
| py3-sssd-pyc-2.11.1-r2.apk | 48.4 KiB | 2025-Nov-20 09:32 |
| py3-sssd-2.11.1-r2.apk | 57.9 KiB | 2025-Nov-20 09:32 |
| py3-sqlmodel-pyc-0.0.22-r1.apk | 40.9 KiB | 2024-Dec-07 00:51 |
| py3-sqlmodel-0.0.22-r1.apk | 26.1 KiB | 2024-Dec-07 00:51 |
| py3-spotipy-pyc-2.24.0-r3.apk | 49.2 KiB | 2025-Oct-15 12:16 |
| py3-spotipy-2.24.0-r3.apk | 29.4 KiB | 2025-Oct-15 12:16 |
| py3-spnego-pyc-0.11.2-r0.apk | 218.8 KiB | 2025-Jan-16 08:00 |
| py3-spnego-0.11.2-r0.apk | 117.6 KiB | 2025-Jan-16 08:00 |
| py3-spinners-pyc-0.0.24-r5.apk | 6.0 KiB | 2024-Aug-30 21:44 |
| py3-spinners-0.0.24-r5.apk | 5.8 KiB | 2024-Aug-30 21:44 |
| py3-spin-pyc-0.8-r0.apk | 24.2 KiB | 2024-Apr-30 01:07 |
| py3-spin-0.8-r0.apk | 18.2 KiB | 2024-Apr-30 01:07 |
| py3-spidev-3.6-r1.apk | 14.3 KiB | 2024-Jul-10 17:46 |
| py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.4 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 5.8 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.6 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.1 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk | 18.7 KiB | 2025-Jul-16 12:26 |
| py3-sphinxcontrib-spelling-8.0.1-r0.apk | 12.9 KiB | 2025-Jul-16 12:26 |
| py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5.3 KiB | 2025-May-15 20:20 |
| py3-sphinxcontrib-slide-1.0.0-r4.apk | 4.6 KiB | 2025-May-15 20:20 |
| py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.0 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.4 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.2 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.0 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.6 KiB | 2024-Aug-07 15:51 |
| py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.2 KiB | 2024-Aug-07 15:51 |
| py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk | 16.9 KiB | 2025-Jul-16 12:26 |
| py3-sphinxcontrib-phpdomain-0.13.0-r0.apk | 11.1 KiB | 2025-Jul-16 12:26 |
| py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 10.9 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.4 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 15.8 KiB | 2025-May-10 01:44 |
| py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10.0 KiB | 2025-May-10 01:44 |
| py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 2.9 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-manpage-0.6-r8.apk | 3.9 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.3 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.6 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.7 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-issuetracker-0.11-r7.apk | 10.8 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 14.8 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.3 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930..> | 43.6 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1...> | 21.1 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.6 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.1 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 17.8 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 14.8 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.3 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.4 KiB | 2024-Aug-30 21:44 |
| py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.6 KiB | 2024-Aug-30 21:44 |
| py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.3 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-git-11.0.0-r7.apk | 16.8 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.1 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.6 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.2 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 8.8 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.2 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.6 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.0 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.4 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 8.9 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.3 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 3.8 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.4 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 11.7 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-adadomain-0.2-r9.apk | 8.7 KiB | 2024-Apr-30 01:07 |
| py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.0 KiB | 2024-Aug-07 01:50 |
| py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.4 KiB | 2024-Aug-07 01:50 |
| py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 1.9 KiB | 2024-Apr-30 01:07 |
| py3-sphinx-theme-readable-1.3.0-r9.apk | 8.5 KiB | 2024-Apr-30 01:07 |
| py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.3 KiB | 2024-Aug-07 01:50 |
| py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-Aug-07 01:50 |
| py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.2 KiB | 2024-Apr-30 01:07 |
| py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.6 KiB | 2024-Apr-30 01:07 |
| py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.5 KiB | 2024-Apr-30 01:07 |
| py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.0 KiB | 2024-Apr-30 01:07 |
| py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.6 KiB | 2024-Apr-30 01:07 |
| py3-sphinx-theme-bw-0.1.8-r7.apk | 64.5 KiB | 2024-Apr-30 01:07 |
| py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.1 KiB | 2024-Aug-07 01:50 |
| py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-Aug-07 01:50 |
| py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 1.7 KiB | 2024-Aug-07 01:50 |
| py3-sphinx-theme-better-0.1.5-r7.apk | 10.3 KiB | 2024-Aug-07 01:50 |
| py3-sphinx-autoapi-pyc-3.6.1-r0.apk | 58.6 KiB | 2025-Oct-15 12:16 |
| py3-sphinx-autoapi-3.6.1-r0.apk | 31.1 KiB | 2025-Oct-15 12:16 |
| py3-sphinx-argparse-pyc-0.5.2-r0.apk | 21.9 KiB | 2024-Aug-29 10:07 |
| py3-sphinx-argparse-0.5.2-r0.apk | 13.9 KiB | 2024-Aug-29 10:07 |
| py3-spake2-pyc-0.9-r0.apk | 43.3 KiB | 2024-Sep-30 15:25 |
| py3-spake2-0.9-r0.apk | 29.9 KiB | 2024-Sep-30 15:25 |
| py3-sortedcollections-pyc-2.1.0-r5.apk | 13.6 KiB | 2024-Aug-08 18:19 |
| py3-sortedcollections-2.1.0-r5.apk | 10.4 KiB | 2024-Aug-08 18:19 |
| py3-soapy_power-pyc-1.6.1-r5.apk | 26.3 KiB | 2024-Aug-07 01:50 |
| py3-soapy_power-1.6.1-r5.apk | 17.2 KiB | 2024-Aug-07 01:50 |
| py3-soappy-pyc-0.52.30-r0.apk | 95.0 KiB | 2024-Dec-02 23:58 |
| py3-soappy-0.52.30-r0.apk | 47.0 KiB | 2024-Dec-02 23:58 |
| py3-snapshottest-pyc-0.6.0-r5.apk | 25.5 KiB | 2024-Aug-07 01:50 |
| py3-snapshottest-0.6.0-r5.apk | 14.9 KiB | 2024-Aug-07 01:50 |
| py3-slixmpp-pyc-1.8.5-r2.apk | 729.2 KiB | 2024-Apr-30 01:07 |
| py3-slixmpp-doc-1.8.5-r2.apk | 5.5 KiB | 2024-Apr-30 01:07 |
| py3-slixmpp-1.8.5-r2.apk | 382.1 KiB | 2024-Apr-30 01:07 |
| py3-slidge-style-parser-pyc-0.1.9-r0.apk | 1.7 KiB | 2025-Apr-15 14:08 |
| py3-slidge-style-parser-0.1.9-r0.apk | 209.2 KiB | 2025-Apr-15 14:08 |
| py3-simplespectral-pyc-1.0.0-r5.apk | 7.8 KiB | 2024-Aug-07 15:51 |
| py3-simplespectral-1.0.0-r5.apk | 7.2 KiB | 2024-Aug-07 15:51 |
| py3-simplesoapy-pyc-1.5.1-r7.apk | 11.5 KiB | 2024-Aug-07 01:50 |
| py3-simplesoapy-1.5.1-r7.apk | 7.6 KiB | 2024-Aug-07 01:50 |
| py3-simplesat-pyc-0.8.2-r0.apk | 156.3 KiB | 2024-Jul-23 01:14 |
| py3-simplesat-0.8.2-r0.apk | 213.8 KiB | 2024-Jul-23 01:14 |
| py3-simplematch-pyc-1.4-r1.apk | 5.5 KiB | 2024-Apr-30 01:07 |
| py3-simplematch-1.4-r1.apk | 7.7 KiB | 2024-Apr-30 01:07 |
| py3-simpleeval-pyc-1.0.3-r0.apk | 16.2 KiB | 2025-Jun-24 19:38 |
| py3-simpleeval-1.0.3-r0.apk | 15.4 KiB | 2025-Jun-24 19:38 |
| py3-simple-websocket-pyc-1.1.0-r0.apk | 22.5 KiB | 2025-Sep-26 04:02 |
| py3-simple-websocket-doc-1.1.0-r0.apk | 2.0 KiB | 2025-Sep-26 04:02 |
| py3-simple-websocket-1.1.0-r0.apk | 10.6 KiB | 2025-Sep-26 04:02 |
| py3-simber-pyc-0.2.6-r5.apk | 15.9 KiB | 2025-May-15 20:20 |
| py3-simber-0.2.6-r5.apk | 11.6 KiB | 2025-May-15 20:20 |
| py3-shodan-pyc-1.31.0-r1.apk | 78.9 KiB | 2024-Apr-30 01:07 |
| py3-shodan-doc-1.31.0-r1.apk | 6.9 KiB | 2024-Apr-30 01:07 |
| py3-shodan-1.31.0-r1.apk | 43.8 KiB | 2024-Apr-30 01:07 |
| py3-sh-pyc-2.1.0-r0.apk | 54.6 KiB | 2024-Nov-01 15:25 |
| py3-sh-2.1.0-r0.apk | 37.5 KiB | 2024-Nov-01 15:25 |
| py3-setuptools-lint-pyc-0.6.0-r9.apk | 5.7 KiB | 2024-Apr-30 01:07 |
| py3-setuptools-lint-0.6.0-r9.apk | 5.1 KiB | 2024-Apr-30 01:07 |
| py3-seqdiag-pyc-3.0.0-r5.apk | 42.0 KiB | 2024-Apr-30 01:07 |
| py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-Apr-30 01:07 |
| py3-senf-pyc-1.5.0-r0.apk | 32.2 KiB | 2025-Jun-27 02:59 |
| py3-senf-1.5.0-r0.apk | 19.9 KiB | 2025-Jun-27 02:59 |
| py3-scs-pyc-3.2.3-r4.apk | 4.7 KiB | 2024-Aug-07 01:50 |
| py3-scs-3.2.3-r4.apk | 94.0 KiB | 2024-Aug-07 01:50 |
| py3-scrapy-pyc-2.11.1-r1.apk | 481.7 KiB | 2024-Apr-30 01:07 |
| py3-scrapy-2.11.1-r1.apk | 239.7 KiB | 2024-Apr-30 01:07 |
| py3-scour-pyc-0.38.2-r1.apk | 73.5 KiB | 2024-Apr-30 01:07 |
| py3-scour-0.38.2-r1.apk | 56.1 KiB | 2024-Apr-30 01:07 |
| py3-schema-pyc-0.7.7-r0.apk | 20.3 KiB | 2025-Jul-07 17:08 |
| py3-schema-0.7.7-r0.apk | 19.2 KiB | 2025-Jul-07 17:08 |
| py3-rtree-pyc-1.4.1-r0.apk | 47.8 KiB | 2025-Aug-14 20:32 |
| py3-rtree-1.4.1-r0.apk | 26.2 KiB | 2025-Aug-14 20:32 |
| py3-rst2pdf-pyc-0.102-r0.apk | 205.5 KiB | 2025-Jun-10 19:26 |
| py3-rst2pdf-0.102-r0.apk | 154.2 KiB | 2025-Jun-10 19:26 |
| py3-rst2ansi-pyc-0.1.5-r0.apk | 23.7 KiB | 2024-Oct-22 21:54 |
| py3-rst2ansi-doc-0.1.5-r0.apk | 2.0 KiB | 2024-Oct-22 21:54 |
| py3-rst2ansi-0.1.5-r0.apk | 11.9 KiB | 2024-Oct-22 21:54 |
| py3-rst.linker-pyc-2.6.0-r0.apk | 6.4 KiB | 2024-May-12 22:34 |
| py3-rst.linker-2.6.0-r0.apk | 5.8 KiB | 2024-May-12 22:34 |
| py3-rst-pyc-0.1-r9.apk | 5.9 KiB | 2024-Aug-08 18:23 |
| py3-rst-0.1-r9.apk | 5.3 KiB | 2024-Aug-08 18:23 |
| py3-rpio-pyc-0.10.1-r8.apk | 15.7 KiB | 2024-Aug-07 01:50 |
| py3-rpio-0.10.1-r8.apk | 35.4 KiB | 2024-Aug-07 01:50 |
| py3-rospkg-pyc-1.2.9-r5.apk | 53.8 KiB | 2024-Apr-30 01:07 |
| py3-rospkg-1.2.9-r5.apk | 28.5 KiB | 2024-Apr-30 01:07 |
| py3-rosdistro-pyc-0.9.0-r3.apk | 91.0 KiB | 2024-Apr-30 01:07 |
| py3-rosdistro-0.9.0-r3.apk | 46.8 KiB | 2024-Apr-30 01:07 |
| py3-rofi-pyc-1.0.1-r1.apk | 11.5 KiB | 2025-Aug-10 16:56 |
| py3-rofi-1.0.1-r1.apk | 11.9 KiB | 2025-Aug-10 16:56 |
| py3-rns-pyc-1.0.0-r0.apk | 704.3 KiB | 2025-Sep-28 04:37 |
| py3-rns-1.0.0-r0.apk | 343.9 KiB | 2025-Sep-28 04:37 |
| py3-riotctrl-pyc-0.5.0-r4.apk | 10.4 KiB | 2024-Aug-07 15:51 |
| py3-riotctrl-0.5.0-r4.apk | 12.8 KiB | 2024-Aug-07 15:51 |
| py3-rich-click-pyc-1.7.3-r1.apk | 40.1 KiB | 2024-Apr-30 01:07 |
| py3-rich-click-1.7.3-r1.apk | 30.5 KiB | 2024-Apr-30 01:07 |
| py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.2 KiB | 2024-Aug-07 01:50 |
| py3-rfc-bibtex-0.3.2-r7.apk | 12.6 KiB | 2024-Aug-07 01:50 |
| py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.3 KiB | 2024-Apr-30 01:07 |
| py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.2 KiB | 2024-Apr-30 01:07 |
| py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.7 KiB | 2024-Dec-01 17:25 |
| py3-requests-kerberos-0.15.0-r0.apk | 11.8 KiB | 2024-Dec-01 17:25 |
| py3-requests-cache-pyc-1.2.1-r1.apk | 93.9 KiB | 2024-Nov-18 08:47 |
| py3-requests-cache-1.2.1-r1.apk | 49.7 KiB | 2024-Nov-18 08:47 |
| py3-remind-pyc-0.19.2-r0.apk | 22.3 KiB | 2025-Apr-22 18:32 |
| py3-remind-0.19.2-r0.apk | 24.2 KiB | 2025-Apr-22 18:32 |
| py3-redmine-pyc-2.5.0-r0.apk | 53.3 KiB | 2024-Sep-22 22:04 |
| py3-redmine-2.5.0-r0.apk | 36.5 KiB | 2024-Sep-22 22:04 |
| py3-recurring-ical-events-pyc-3.8.0-r0.apk | 49.6 KiB | 2025-Jun-14 18:40 |
| py3-recurring-ical-events-3.8.0-r0.apk | 38.6 KiB | 2025-Jun-14 18:40 |
| py3-recommonmark-pyc-0.7.1-r4.apk | 17.2 KiB | 2024-Aug-07 01:50 |
| py3-recommonmark-0.7.1-r4.apk | 11.5 KiB | 2024-Aug-07 01:50 |
| py3-radon-pyc-6.0.1-r2.apk | 49.3 KiB | 2024-Aug-30 21:44 |
| py3-radon-doc-6.0.1-r2.apk | 4.9 KiB | 2024-Aug-30 21:44 |
| py3-radon-6.0.1-r2.apk | 31.3 KiB | 2024-Aug-30 21:44 |
| py3-rabbit-pyc-1.1.0-r8.apk | 15.2 KiB | 2024-Aug-07 01:50 |
| py3-rabbit-1.1.0-r8.apk | 10.7 KiB | 2024-Aug-07 01:50 |
| py3-queuelib-pyc-1.8.0-r0.apk | 24.2 KiB | 2025-Sep-01 18:59 |
| py3-queuelib-1.8.0-r0.apk | 12.1 KiB | 2025-Sep-01 18:59 |
| py3-quebra-frases-pyc-0.3.7-r1.apk | 7.5 KiB | 2024-Apr-30 01:07 |
| py3-quebra-frases-0.3.7-r1.apk | 8.5 KiB | 2024-Apr-30 01:07 |
| py3-qt.py-pyc-1.3.10-r1.apk | 24.4 KiB | 2024-Aug-07 15:51 |
| py3-qt.py-1.3.10-r1.apk | 32.4 KiB | 2024-Aug-07 15:51 |
| py3-qpageview-pyc-0.6.2-r1.apk | 179.9 KiB | 2024-Apr-30 01:07 |
| py3-qpageview-doc-0.6.2-r1.apk | 56.0 KiB | 2024-Apr-30 01:07 |
| py3-qpageview-0.6.2-r1.apk | 97.8 KiB | 2024-Apr-30 01:07 |
| py3-qdldl-0.1.5-r4.apk | 90.0 KiB | 2024-Aug-08 18:19 |
| py3-qasync-0.19.0-r2.apk | 36.6 KiB | 2024-Aug-08 18:23 |
| py3-pyzor-pyc-1.1.2-r0.apk | 53.7 KiB | 2025-Aug-14 06:27 |
| py3-pyzor-1.1.2-r0.apk | 40.1 KiB | 2025-Aug-14 06:27 |
| py3-pyvcd-pyc-0.4.1-r0.apk | 40.2 KiB | 2024-Nov-12 04:08 |
| py3-pyvcd-0.4.1-r0.apk | 22.4 KiB | 2024-Nov-12 04:08 |
| py3-python-stdnum-pyc-1.20-r0.apk | 293.2 KiB | 2024-Sep-20 00:00 |
| py3-python-stdnum-1.20-r0.apk | 805.5 KiB | 2024-Sep-20 00:00 |
| py3-python-logstash-pyc-0.4.8-r4.apk | 8.2 KiB | 2024-Aug-07 01:50 |
| py3-python-logstash-doc-0.4.8-r4.apk | 2.0 KiB | 2024-Aug-07 01:50 |
| py3-python-logstash-0.4.8-r4.apk | 8.3 KiB | 2024-Aug-07 01:50 |
| py3-python-jwt-pyc-4.1.0-r2.apk | 6.2 KiB | 2025-May-16 01:50 |
| py3-python-jwt-4.1.0-r2.apk | 7.8 KiB | 2025-May-16 01:50 |
| py3-python-iptables-pyc-1.2.0-r1.apk | 67.6 KiB | 2025-Dec-24 10:53 |
| py3-python-iptables-1.2.0-r1.apk | 37.5 KiB | 2025-Dec-24 10:53 |
| py3-python-archive-pyc-0.2-r7.apk | 9.1 KiB | 2024-Aug-30 21:44 |
| py3-python-archive-0.2-r7.apk | 7.1 KiB | 2024-Aug-30 21:44 |
| py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk | 10.3 KiB | 2025-Aug-10 16:56 |
| py3-pytest-textual-snapshot-1.1.0-r0.apk | 8.9 KiB | 2025-Aug-10 16:56 |
| py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.3 KiB | 2024-Aug-07 15:51 |
| py3-pytest-subprocess-1.5.2-r0.apk | 19.2 KiB | 2024-Aug-07 15:51 |
| py3-pytest-regtest-pyc-2.3.5-r0.apk | 30.0 KiB | 2025-Oct-15 12:16 |
| py3-pytest-regtest-2.3.5-r0.apk | 15.8 KiB | 2025-Oct-15 12:16 |
| py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.6 KiB | 2024-Apr-30 01:07 |
| py3-pytest-metadata-3.1.1-r0.apk | 9.9 KiB | 2024-Apr-30 01:07 |
| py3-pytest-html-pyc-4.1.1-r1.apk | 21.5 KiB | 2024-Apr-30 01:07 |
| py3-pytest-html-4.1.1-r1.apk | 21.3 KiB | 2024-Apr-30 01:07 |
| py3-pytest-home-pyc-0.6.0-r0.apk | 2.5 KiB | 2024-Oct-19 23:08 |
| py3-pytest-home-0.6.0-r0.apk | 4.3 KiB | 2024-Oct-19 23:08 |
| py3-pytest-expect-pyc-1.1.0-r10.apk | 6.7 KiB | 2024-Aug-30 21:44 |
| py3-pytest-expect-1.1.0-r10.apk | 5.6 KiB | 2024-Aug-30 21:44 |
| py3-pytest-datadir-pyc-1.8.0-r0.apk | 5.1 KiB | 2025-Aug-05 13:16 |
| py3-pytest-datadir-1.8.0-r0.apk | 6.8 KiB | 2025-Aug-05 13:16 |
| py3-pyte-pyc-0.8.2-r3.apk | 38.9 KiB | 2025-May-15 20:20 |
| py3-pyte-0.8.2-r3.apk | 29.6 KiB | 2025-May-15 20:20 |
| py3-pytap2-pyc-2.3.0-r0.apk | 5.7 KiB | 2024-May-08 23:09 |
| py3-pytap2-doc-2.3.0-r0.apk | 2.5 KiB | 2024-May-08 23:09 |
| py3-pytap2-2.3.0-r0.apk | 6.7 KiB | 2024-May-08 23:09 |
| py3-pytaglib-pyc-3.0.0-r0.apk | 2.6 KiB | 2025-Jan-26 21:26 |
| py3-pytaglib-3.0.0-r0.apk | 39.9 KiB | 2025-Jan-26 21:26 |
| py3-pysubs2-pyc-1.8.0-r0.apk | 67.5 KiB | 2024-Dec-26 04:50 |
| py3-pysubs2-1.8.0-r0.apk | 35.7 KiB | 2024-Dec-26 04:50 |
| py3-pystache-pyc-0.6.5-r1.apk | 96.4 KiB | 2024-Apr-30 01:07 |
| py3-pystache-0.6.5-r1.apk | 67.8 KiB | 2024-Apr-30 01:07 |
| py3-pysrt-pyc-1.1.2-r5.apk | 22.5 KiB | 2025-May-15 20:20 |
| py3-pysrt-1.1.2-r5.apk | 25.2 KiB | 2025-May-15 20:20 |
| py3-pyspinel-pyc-1.0.3-r1.apk | 62.8 KiB | 2024-Apr-30 01:07 |
| py3-pyspinel-1.0.3-r1.apk | 55.7 KiB | 2024-Apr-30 01:07 |
| py3-pysonic-pyc-1.0.3-r0.apk | 32.0 KiB | 2025-Apr-16 23:06 |
| py3-pysonic-1.0.3-r0.apk | 34.5 KiB | 2025-Apr-16 23:06 |
| py3-pysimplesoap-pyc-1.16.2-r7.apk | 77.9 KiB | 2024-Aug-07 01:50 |
| py3-pysimplesoap-1.16.2-r7.apk | 43.4 KiB | 2024-Aug-07 01:50 |
| py3-pyscreeze-pyc-0.1.29-r3.apk | 13.9 KiB | 2024-Sep-02 20:37 |
| py3-pyscreeze-0.1.29-r3.apk | 13.8 KiB | 2024-Sep-02 20:37 |
| py3-pyroma-pyc-4.2-r0.apk | 25.7 KiB | 2024-Apr-30 01:07 |
| py3-pyroma-4.2-r0.apk | 21.6 KiB | 2024-Apr-30 01:07 |
| py3-pyrebase-pyc-3.0.27-r5.apk | 17.3 KiB | 2024-Aug-07 01:50 |
| py3-pyrebase-3.0.27-r5.apk | 9.4 KiB | 2024-Aug-07 01:50 |
| py3-pyqrcode-pyc-1.2.1-r0.apk | 47.0 KiB | 2024-May-08 23:09 |
| py3-pyqrcode-doc-1.2.1-r0.apk | 4.0 KiB | 2024-May-08 23:09 |
| py3-pyqrcode-1.2.1-r0.apk | 36.3 KiB | 2024-May-08 23:09 |
| py3-pypubsub-pyc-4.0.3-r0.apk | 89.7 KiB | 2024-May-07 08:33 |
| py3-pypubsub-doc-4.0.3-r0.apk | 1.9 KiB | 2024-May-07 08:33 |
| py3-pypubsub-4.0.3-r0.apk | 52.1 KiB | 2024-May-07 08:33 |
| py3-pyparted-pyc-3.13.0-r1.apk | 41.9 KiB | 2024-Apr-30 01:07 |
| py3-pyparted-3.13.0-r1.apk | 77.5 KiB | 2024-Apr-30 01:07 |
| py3-pynest2d-5.2.2-r5.apk | 233.4 KiB | 2025-Feb-06 05:25 |
| py3-pymupdf-pyc-1.26.4-r2.apk | 500.0 KiB | 2025-Dec-24 10:53 |
| py3-pymupdf-1.26.4-r2.apk | 318.6 KiB | 2025-Dec-24 10:53 |
| py3-pymsteams-pyc-0.2.5-r0.apk | 6.3 KiB | 2025-Feb-17 12:15 |
| py3-pymsteams-0.2.5-r0.apk | 12.1 KiB | 2025-Feb-17 12:15 |
| py3-pymsgbox-pyc-1.0.9-r5.apk | 9.6 KiB | 2024-Aug-07 15:51 |
| py3-pymsgbox-1.0.9-r5.apk | 8.9 KiB | 2024-Aug-07 15:51 |
| py3-pymeta3-pyc-0.5.1-r6.apk | 31.3 KiB | 2024-Aug-08 18:19 |
| py3-pymeta3-0.5.1-r6.apk | 16.5 KiB | 2024-Aug-08 18:19 |
| py3-pymata4-pyc-1.15-r4.apk | 30.6 KiB | 2024-Aug-30 21:44 |
| py3-pymata4-1.15-r4.apk | 22.8 KiB | 2024-Aug-30 21:44 |
| py3-pymata-pyc-2.20-r4.apk | 29.1 KiB | 2024-Aug-08 18:19 |
| py3-pymata-2.20-r4.apk | 22.2 KiB | 2024-Aug-08 18:19 |
| py3-pymaging-pyc-0.0.20130908-r10.apk | 31.4 KiB | 2024-Aug-30 21:44 |
| py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.7 KiB | 2024-Aug-07 01:50 |
| py3-pymaging-png-0.0.20130727-r10.apk | 34.8 KiB | 2024-Aug-07 01:50 |
| py3-pymaging-0.0.20130908-r10.apk | 17.7 KiB | 2024-Aug-30 21:44 |
| py3-pylru-pyc-1.2.1-r1.apk | 8.7 KiB | 2024-Apr-30 01:07 |
| py3-pylru-1.2.1-r1.apk | 16.3 KiB | 2024-Apr-30 01:07 |
| py3-pyisbn-pyc-1.3.1-r3.apk | 9.1 KiB | 2024-Aug-07 01:50 |
| py3-pyisbn-1.3.1-r3.apk | 20.2 KiB | 2024-Aug-07 01:50 |
| py3-pyinstrument-pyc-5.1.1-r0.apk | 100.2 KiB | 2025-Aug-13 06:00 |
| py3-pyinstrument-5.1.1-r0.apk | 108.7 KiB | 2025-Aug-13 06:00 |
| py3-pyinstaller-pyc-6.6.0-r0.apk | 502.0 KiB | 2024-May-13 17:30 |
| py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-May-13 17:30 |
| py3-pygtail-pyc-0.14.0-r3.apk | 9.8 KiB | 2024-Apr-30 01:07 |
| py3-pygtail-0.14.0-r3.apk | 14.7 KiB | 2024-Apr-30 01:07 |
| py3-pygpgme-pyc-0.3.1-r9.apk | 4.8 KiB | 2024-Aug-07 01:50 |
| py3-pygpgme-0.3.1-r9.apk | 36.9 KiB | 2024-Aug-07 01:50 |
| py3-pyglm-2.7.3-r0.apk | 1.4 MiB | 2024-Nov-06 10:08 |
| py3-pygfm-pyc-2.0.0-r2.apk | 12.9 KiB | 2024-Aug-07 15:51 |
| py3-pygfm-2.0.0-r2.apk | 12.5 KiB | 2024-Aug-07 15:51 |
| py3-pygelbooru-pyc-1.0.0-r0.apk | 11.2 KiB | 2025-Jul-30 06:05 |
| py3-pygelbooru-1.0.0-r0.apk | 20.2 KiB | 2025-Jul-30 06:05 |
| py3-pydes-pyc-2.0.1-r5.apk | 12.9 KiB | 2024-Aug-07 01:50 |
| py3-pydes-doc-2.0.1-r5.apk | 3.4 KiB | 2024-Aug-07 01:50 |
| py3-pydes-2.0.1-r5.apk | 10.4 KiB | 2024-Aug-07 01:50 |
| py3-pycosat-0.6.6-r2.apk | 46.2 KiB | 2024-Aug-07 15:51 |
| py3-pycolorterm-pyc-0.2.1-r6.apk | 3.5 KiB | 2024-Aug-07 01:50 |
| py3-pycolorterm-0.2.1-r6.apk | 5.2 KiB | 2024-Aug-07 01:50 |
| py3-pycaption-2.2.15-r0.apk | 359.8 KiB | 2024-Oct-03 05:33 |
| py3-pybars3-pyc-0.9.7-r6.apk | 16.6 KiB | 2024-Aug-07 01:50 |
| py3-pybars3-0.9.7-r6.apk | 14.6 KiB | 2024-Aug-07 01:50 |
| py3-pyautogui-pyc-0.9.53-r5.apk | 45.0 KiB | 2024-Sep-02 20:37 |
| py3-pyautogui-0.9.53-r5.apk | 34.8 KiB | 2024-Sep-02 20:37 |
| py3-pyatem-pyc-0.5.0-r4.apk | 91.4 KiB | 2024-Sep-30 12:42 |
| py3-pyatem-0.5.0-r4.apk | 51.7 KiB | 2024-Sep-30 12:42 |
| py3-py-radix-pyc-0.10.0-r10.apk | 10.4 KiB | 2024-Sep-14 05:17 |
| py3-py-radix-0.10.0-r10.apk | 18.7 KiB | 2024-Sep-14 05:17 |
| py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.4 KiB | 2024-Sep-27 08:22 |
| py3-pure_protobuf-3.1.2-r0.apk | 20.9 KiB | 2024-Sep-27 08:22 |
| py3-proxmoxer-pyc-2.2.0-r0.apk | 27.5 KiB | 2024-Dec-16 11:36 |
| py3-proxmoxer-2.2.0-r0.apk | 16.6 KiB | 2024-Dec-16 11:36 |
| py3-protego-pyc-0.3.1-r0.apk | 11.3 KiB | 2024-Nov-30 21:40 |
| py3-protego-0.3.1-r0.apk | 9.1 KiB | 2024-Nov-30 21:40 |
| py3-proglog-pyc-0.1.10-r2.apk | 9.6 KiB | 2024-Apr-30 01:07 |
| py3-proglog-0.1.10-r2.apk | 7.1 KiB | 2024-Apr-30 01:07 |
| py3-print-color-pyc-0.4.6-r0.apk | 4.7 KiB | 2024-Sep-09 12:41 |
| py3-print-color-doc-0.4.6-r0.apk | 2.4 KiB | 2024-Sep-09 12:41 |
| py3-print-color-0.4.6-r0.apk | 8.6 KiB | 2024-Sep-09 12:41 |
| py3-prefixed-pyc-0.9.0-r0.apk | 8.3 KiB | 2025-Aug-28 09:32 |
| py3-prefixed-0.9.0-r0.apk | 14.1 KiB | 2025-Aug-28 09:32 |
| py3-prctl-pyc-1.8.1-r0.apk | 7.0 KiB | 2025-Oct-20 13:52 |
| py3-prctl-1.8.1-r0.apk | 12.2 KiB | 2025-Oct-20 13:52 |
| py3-pprintpp-pyc-0.4.0-r1.apk | 16.0 KiB | 2024-Apr-30 01:07 |
| py3-pprintpp-0.4.0-r1.apk | 13.6 KiB | 2024-Apr-30 01:07 |
| py3-ppk2-api-pyc-0.9.2-r0.apk | 16.3 KiB | 2024-Nov-30 21:40 |
| py3-ppk2-api-0.9.2-r0.apk | 16.2 KiB | 2024-Nov-30 21:40 |
| py3-poppler-qt5-21.3.0-r2.apk | 130.4 KiB | 2025-Jan-30 01:09 |
| py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk | 26.1 KiB | 2025-Jul-25 23:43 |
| py3-poetry-dynamic-versioning-1.9.1-r0.apk | 20.3 KiB | 2025-Jul-25 23:43 |
| py3-pockethernet-pyc-0.7.0-r4.apk | 24.9 KiB | 2024-Aug-07 15:51 |
| py3-pockethernet-0.7.0-r4.apk | 14.5 KiB | 2024-Aug-07 15:51 |
| py3-pltable-pyc-1.1.0-r1.apk | 33.2 KiB | 2024-Nov-13 07:36 |
| py3-pltable-1.1.0-r1.apk | 18.3 KiB | 2024-Nov-13 07:36 |
| py3-playsound-pyc-1.3.0-r1.apk | 8.3 KiB | 2024-Apr-30 01:07 |
| py3-playsound-1.3.0-r1.apk | 6.6 KiB | 2024-Apr-30 01:07 |
| py3-piper-tts-2023.11.14.2-r14.apk | 40.6 KiB | 2025-Oct-15 22:29 |
| py3-piper-phonemize-pyc-2023.11.14.4-r9.apk | 3.1 KiB | 2025-Oct-15 22:29 |
| py3-piper-phonemize-2023.11.14.4-r9.apk | 144.5 KiB | 2025-Oct-15 22:29 |
| py3-pip-system-certs-pyc-4.0-r1.apk | 4.4 KiB | 2024-Apr-30 01:07 |
| py3-pip-system-certs-4.0-r1.apk | 6.7 KiB | 2024-Apr-30 01:07 |
| py3-pillow_heif-pyc-0.18.0-r0.apk | 35.3 KiB | 2024-Sep-27 06:09 |
| py3-pillow_heif-0.18.0-r0.apk | 42.7 KiB | 2024-Sep-27 06:09 |
| py3-pickle-secure-pyc-0.99.9-r1.apk | 5.1 KiB | 2024-Apr-30 01:07 |
| py3-pickle-secure-0.99.9-r1.apk | 7.2 KiB | 2024-Apr-30 01:07 |
| py3-piccata-pyc-2.0.3-r1.apk | 33.9 KiB | 2024-Apr-30 01:07 |
| py3-piccata-2.0.3-r1.apk | 19.8 KiB | 2024-Apr-30 01:07 |
| py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.1 KiB | 2024-Oct-18 07:36 |
| py3-phx-class-registry-doc-5.0.0-r0.apk | 2.0 KiB | 2024-Oct-18 07:36 |
| py3-phx-class-registry-5.0.0-r0.apk | 12.9 KiB | 2024-Oct-18 07:36 |
| py3-phpserialize-pyc-1.3-r8.apk | 10.4 KiB | 2024-Aug-07 15:51 |
| py3-phpserialize-1.3-r8.apk | 8.6 KiB | 2024-Aug-07 15:51 |
| py3-pep8-naming-pyc-0.14.1-r0.apk | 12.9 KiB | 2024-Sep-19 21:34 |
| py3-pep8-naming-0.14.1-r0.apk | 9.5 KiB | 2024-Sep-19 21:34 |
| py3-pelican-pyc-4.9.1-r2.apk | 147.0 KiB | 2024-Apr-30 01:07 |
| py3-pelican-4.9.1-r2.apk | 233.4 KiB | 2024-Apr-30 01:07 |
| py3-pdoc-pyc-15.0.4-r0.apk | 168.7 KiB | 2025-Oct-15 12:16 |
| py3-pdoc-15.0.4-r0.apk | 132.5 KiB | 2025-Oct-15 12:16 |
| py3-pdal-pyc-3.4.5-r1.apk | 12.8 KiB | 2025-Jun-21 22:06 |
| py3-pdal-3.4.5-r1.apk | 162.9 KiB | 2025-Jun-21 22:06 |
| py3-pbkdf2-pyc-1.3-r7.apk | 6.8 KiB | 2024-Aug-07 01:50 |
| py3-pbkdf2-1.3-r7.apk | 6.0 KiB | 2024-Aug-07 01:50 |
| py3-pathvalidate-pyc-3.3.1-r0.apk | 33.3 KiB | 2025-Jul-16 12:26 |
| py3-pathvalidate-3.3.1-r0.apk | 18.8 KiB | 2025-Jul-16 12:26 |
| py3-pam-pyc-2.0.2-r2.apk | 12.7 KiB | 2024-Apr-30 01:07 |
| py3-pam-2.0.2-r2.apk | 10.9 KiB | 2024-Apr-30 01:07 |
| py3-padacioso-pyc-0.2.1-r0.apk | 12.0 KiB | 2024-May-31 19:58 |
| py3-padacioso-0.2.1-r0.apk | 11.2 KiB | 2024-May-31 19:58 |
| py3-pacparser-pyc-1.4.5-r1.apk | 3.8 KiB | 2024-Sep-14 18:10 |
| py3-pacparser-1.4.5-r1.apk | 408.6 KiB | 2024-Sep-14 18:10 |
| py3-owslib-pyc-0.35.0-r0.apk | 424.6 KiB | 2025-Nov-03 10:01 |
| py3-owslib-0.35.0-r0.apk | 195.0 KiB | 2025-Nov-03 10:01 |
| py3-ovos-workshop-pyc-7.0.6-r0.apk | 165.2 KiB | 2025-Jul-16 12:26 |
| py3-ovos-workshop-7.0.6-r0.apk | 91.9 KiB | 2025-Jul-16 12:26 |
| py3-ovos-utils-pyc-0.8.1-r0.apk | 130.0 KiB | 2025-Jul-16 12:26 |
| py3-ovos-utils-0.8.1-r0.apk | 72.3 KiB | 2025-Jul-16 12:26 |
| py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1...> | 5.2 KiB | 2024-May-31 19:58 |
| py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9.3 KiB | 2024-May-31 19:58 |
| py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 3.8 KiB | 2024-May-31 19:58 |
| py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.1 KiB | 2024-May-31 19:58 |
| py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6.5 KiB | 2024-Apr-30 01:07 |
| py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 9.8 KiB | 2024-Apr-30 01:07 |
| py3-ovos-plugin-manager-pyc-1.0.3-r0.apk | 166.6 KiB | 2025-Jul-16 12:26 |
| py3-ovos-plugin-manager-1.0.3-r0.apk | 86.2 KiB | 2025-Jul-16 12:26 |
| py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk | 9.8 KiB | 2025-Jul-16 12:26 |
| py3-ovos-phal-plugin-system-1.3.3-r0.apk | 10.7 KiB | 2025-Jul-16 12:26 |
| py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9.4 KiB | 2024-Nov-21 13:43 |
| py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 11.7 KiB | 2024-Nov-21 13:43 |
| py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r..> | 11.0 KiB | 2024-Nov-21 13:43 |
| py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 94.8 KiB | 2024-Nov-21 13:43 |
| py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.1 KiB | 2024-Apr-30 01:07 |
| py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.2 KiB | 2024-Apr-30 01:07 |
| py3-ovos-phal-plugin-connectivity-events-pyc-0.1..> | 4.8 KiB | 2025-Jul-16 12:26 |
| py3-ovos-phal-plugin-connectivity-events-0.1.2-r..> | 8.5 KiB | 2025-Jul-16 12:26 |
| py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk | 3.6 KiB | 2025-Jul-16 12:26 |
| py3-ovos-ocp-rss-plugin-0.1.1-r0.apk | 7.9 KiB | 2025-Jul-16 12:26 |
| py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk | 8.6 KiB | 2025-Jul-16 12:26 |
| py3-ovos-ocp-news-plugin-0.1.1-r0.apk | 10.8 KiB | 2025-Jul-16 12:26 |
| py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3.1 KiB | 2024-Sep-12 21:31 |
| py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 7.8 KiB | 2024-Sep-12 21:31 |
| py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.3 KiB | 2024-Sep-12 21:31 |
| py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 46.7 KiB | 2024-Sep-12 21:31 |
| py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 85.8 KiB | 2024-May-31 19:58 |
| py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 550.0 KiB | 2024-May-31 19:58 |
| py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk | 4.5 KiB | 2025-Jul-16 12:26 |
| py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk | 8.6 KiB | 2025-Jul-16 12:26 |
| py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 436.4 KiB | 2024-May-31 19:58 |
| py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.5 KiB | 2024-May-31 19:58 |
| py3-ovos-config-pyc-2.1.1-r0.apk | 34.5 KiB | 2025-Jul-16 12:26 |
| py3-ovos-config-2.1.1-r0.apk | 47.0 KiB | 2025-Jul-16 12:26 |
| py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 163.3 KiB | 2024-May-31 19:58 |
| py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 102.7 KiB | 2024-May-31 19:58 |
| py3-ovos-bus-client-pyc-1.3.4-r0.apk | 88.7 KiB | 2025-Apr-25 20:35 |
| py3-ovos-bus-client-1.3.4-r0.apk | 49.7 KiB | 2025-Apr-25 20:35 |
| py3-ovos-backend-client-pyc-1.0.0-r0.apk | 90.8 KiB | 2024-Sep-12 21:31 |
| py3-ovos-backend-client-1.0.0-r0.apk | 45.7 KiB | 2024-Sep-12 21:31 |
| py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8.0 KiB | 2024-May-31 19:58 |
| py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 9.7 KiB | 2024-May-31 19:58 |
| py3-osqp-pyc-0.6.2-r6.apk | 76.3 KiB | 2024-Aug-07 01:50 |
| py3-osqp-dev-0.6.2-r6.apk | 48.8 KiB | 2024-Aug-07 01:50 |
| py3-osqp-0.6.2-r6.apk | 99.6 KiB | 2024-Aug-07 01:50 |
| py3-orderedmultidict-pyc-1.0.1-r7.apk | 16.9 KiB | 2024-Aug-07 01:50 |
| py3-orderedmultidict-1.0.1-r7.apk | 11.6 KiB | 2024-Aug-07 01:50 |
| py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.0 KiB | 2024-Aug-07 15:51 |
| py3-openwisp-utils-1.0.4-r4.apk | 497.4 KiB | 2024-Aug-07 15:51 |
| py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.1 KiB | 2024-Apr-30 01:07 |
| py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.0 KiB | 2024-Apr-30 01:07 |
| py3-opendht-3.1.11-r0.apk | 151.8 KiB | 2025-Jan-27 21:08 |
| py3-openapi-codec-pyc-1.3.2-r9.apk | 11.5 KiB | 2024-Apr-30 01:07 |
| py3-openapi-codec-1.3.2-r9.apk | 7.4 KiB | 2024-Apr-30 01:07 |
| py3-okonomiyaki-pyc-2.0.0-r1.apk | 242.8 KiB | 2025-Oct-15 12:16 |
| py3-okonomiyaki-2.0.0-r1.apk | 7.9 MiB | 2025-Oct-15 12:16 |
| py3-nwdiag-pyc-3.0.0-r3.apk | 77.6 KiB | 2024-Aug-07 01:50 |
| py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-Aug-07 01:50 |
| py3-numpy-stl-pyc-3.2.0-r0.apk | 27.6 KiB | 2024-Dec-01 02:11 |
| py3-numpy-stl-3.2.0-r0.apk | 20.7 KiB | 2024-Dec-01 02:11 |
| py3-ntplib-pyc-0.4.0-r5.apk | 8.4 KiB | 2024-Aug-30 21:44 |
| py3-ntplib-0.4.0-r5.apk | 7.1 KiB | 2024-Aug-30 21:44 |
| py3-nptyping-pyc-2.5.0-r3.apk | 31.8 KiB | 2024-Sep-02 20:36 |
| py3-nptyping-2.5.0-r3.apk | 21.1 KiB | 2024-Sep-02 20:36 |
| py3-notifymail-pyc-1.1-r8.apk | 5.5 KiB | 2024-Aug-07 01:50 |
| py3-notifymail-1.1-r8.apk | 7.3 KiB | 2024-Aug-07 01:50 |
| py3-nose-timer-pyc-1.0.1-r6.apk | 9.7 KiB | 2024-Aug-07 15:51 |
| py3-nose-timer-1.0.1-r6.apk | 9.1 KiB | 2024-Aug-07 15:51 |
| py3-nmap-pyc-0.7.1-r4.apk | 25.2 KiB | 2024-Aug-07 15:51 |
| py3-nmap-0.7.1-r4.apk | 20.1 KiB | 2024-Aug-07 15:51 |
| py3-nikola-pyc-8.3.3-r0.apk | 545.0 KiB | 2025-Oct-15 12:16 |
| py3-nikola-doc-8.3.3-r0.apk | 61.0 KiB | 2025-Oct-15 12:16 |
| py3-nikola-8.3.3-r0.apk | 4.3 MiB | 2025-Oct-15 12:16 |
| py3-netmiko-pyc-4.6.0-r0.apk | 372.0 KiB | 2025-Aug-13 19:49 |
| py3-netmiko-4.6.0-r0.apk | 191.7 KiB | 2025-Aug-13 19:49 |
| py3-netifaces2-pyc-0.0.22-r0.apk | 8.9 KiB | 2024-Apr-30 01:07 |
| py3-netifaces2-0.0.22-r0.apk | 186.7 KiB | 2024-Apr-30 01:07 |
| py3-ncclient-pyc-0.6.13-r5.apk | 106.3 KiB | 2024-Sep-02 20:36 |
| py3-ncclient-0.6.13-r5.apk | 68.0 KiB | 2024-Sep-02 20:36 |
| py3-natpmp-pyc-1.3.2-r1.apk | 9.6 KiB | 2024-Apr-30 01:07 |
| py3-natpmp-1.3.2-r1.apk | 9.1 KiB | 2024-Apr-30 01:07 |
| py3-mss-10.0.0-r0.apk | 50.3 KiB | 2024-Nov-14 12:57 |
| py3-msldap-pyc-0.5.15-r2.apk | 329.5 KiB | 2025-Oct-18 08:15 |
| py3-msldap-examples-0.5.15-r2.apk | 18.1 KiB | 2025-Oct-18 08:15 |
| py3-msldap-0.5.15-r2.apk | 126.4 KiB | 2025-Oct-18 08:15 |
| py3-moviepy-pyc-1.0.3-r6.apk | 155.3 KiB | 2024-Aug-07 01:50 |
| py3-moviepy-1.0.3-r6.apk | 94.2 KiB | 2024-Aug-07 01:50 |
| py3-more-properties-pyc-1.1.1-r3.apk | 7.9 KiB | 2024-Apr-30 01:07 |
| py3-more-properties-1.1.1-r3.apk | 7.2 KiB | 2024-Apr-30 01:07 |
| py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.4 KiB | 2024-Aug-07 01:50 |
| py3-mopidy-tidal-0.3.2-r6.apk | 24.5 KiB | 2024-Aug-07 01:50 |
| py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.5 KiB | 2024-Apr-30 01:07 |
| py3-mopidy-mpd-3.3.0-r4.apk | 45.7 KiB | 2024-Apr-30 01:07 |
| py3-mopidy-local-pyc-3.3.0-r0.apk | 33.8 KiB | 2025-Jan-03 17:12 |
| py3-mopidy-local-3.3.0-r0.apk | 27.5 KiB | 2025-Jan-03 17:12 |
| py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.4 KiB | 2024-Aug-07 01:50 |
| py3-mopidy-jellyfin-1.0.4-r4.apk | 24.3 KiB | 2024-Aug-07 01:50 |
| py3-modern_colorthief-pyc-0.1.7-r0.apk | 2.3 KiB | 2025-Jun-16 18:34 |
| py3-modern_colorthief-0.1.7-r0.apk | 787.8 KiB | 2025-Jun-16 18:34 |
| py3-modbus-tk-pyc-1.1.1-r4.apk | 48.2 KiB | 2024-Aug-07 01:50 |
| py3-modbus-tk-1.1.1-r4.apk | 24.5 KiB | 2024-Aug-07 01:50 |
| py3-mnemonic-pyc-0.21-r0.apk | 9.4 KiB | 2024-Oct-06 12:00 |
| py3-mnemonic-doc-0.21-r0.apk | 2.1 KiB | 2024-Oct-06 12:00 |
| py3-mnemonic-0.21-r0.apk | 94.8 KiB | 2024-Oct-06 12:00 |
| py3-mistune1-pyc-0.8.4-r6.apk | 21.2 KiB | 2025-Nov-09 21:41 |
| py3-mistune1-0.8.4-r6.apk | 14.2 KiB | 2025-Nov-09 21:41 |
| py3-mistletoe-pyc-1.4.0-r0.apk | 92.7 KiB | 2025-Mar-19 18:48 |
| py3-mistletoe-1.4.0-r0.apk | 44.3 KiB | 2025-Mar-19 18:48 |
| py3-minio-pyc-7.2.18-r0.apk | 154.8 KiB | 2025-Nov-10 03:27 |
| py3-minio-7.2.18-r0.apk | 74.6 KiB | 2025-Nov-10 03:27 |
| py3-minikerberos-pyc-0.4.9-r0.apk | 287.0 KiB | 2025-Nov-03 12:19 |
| py3-minikerberos-examples-0.4.9-r0.apk | 17.1 KiB | 2025-Nov-03 12:19 |
| py3-minikerberos-0.4.9-r0.apk | 122.9 KiB | 2025-Nov-03 12:19 |
| py3-minidump-pyc-0.0.24-r1.apk | 128.8 KiB | 2025-May-29 12:38 |
| py3-minidump-0.0.24-r1.apk | 63.3 KiB | 2025-May-29 12:38 |
| py3-minidb-pyc-2.0.8-r0.apk | 23.2 KiB | 2024-Nov-13 19:03 |
| py3-minidb-2.0.8-r0.apk | 9.8 KiB | 2024-Nov-13 19:03 |
| py3-milc-pyc-1.9.1-r0.apk | 41.2 KiB | 2025-Jan-25 16:05 |
| py3-milc-1.9.1-r0.apk | 25.7 KiB | 2025-Jan-25 16:05 |
| py3-migen-pyc-0.9.2-r2.apk | 295.4 KiB | 2024-Apr-30 01:07 |
| py3-migen-0.9.2-r2.apk | 142.3 KiB | 2024-Apr-30 01:07 |
| py3-meshtastic-2.7.2-r0.apk | 539.6 KiB | 2025-Sep-26 04:02 |
| py3-mbedtls-pyc-2.10.1-r3.apk | 26.7 KiB | 2025-May-29 12:38 |
| py3-mbedtls-2.10.1-r3.apk | 946.8 KiB | 2025-May-29 12:38 |
| py3-marshmallow-pyc-3.26.1-r0.apk | 84.6 KiB | 2025-Feb-21 23:45 |
| py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.2 KiB | 2024-Apr-30 01:07 |
| py3-marshmallow-enum-1.5.1-r7.apk | 5.0 KiB | 2024-Apr-30 01:07 |
| py3-marshmallow-3.26.1-r0.apk | 47.3 KiB | 2025-Feb-21 23:45 |
| py3-markdownify-pyc-1.2.2-r0.apk | 18.0 KiB | 2025-Nov-27 20:09 |
| py3-markdownify-1.2.2-r0.apk | 15.9 KiB | 2025-Nov-27 20:09 |
| py3-markdown2-pyc-2.5.0-r0.apk | 75.2 KiB | 2024-Aug-29 10:07 |
| py3-markdown2-2.5.0-r0.apk | 47.0 KiB | 2024-Aug-29 10:07 |
| py3-marisa-trie-1.3.1-r0.apk | 134.6 KiB | 2025-Aug-29 11:40 |
| py3-mapbox-earcut-1.0.1-r2.apk | 59.7 KiB | 2024-Aug-07 01:50 |
| py3-manuel-pyc-1.13.0-r1.apk | 25.4 KiB | 2025-Oct-15 12:16 |
| py3-manuel-1.13.0-r1.apk | 39.1 KiB | 2025-Oct-15 12:16 |
| py3-mando-pyc-0.8.2-r0.apk | 35.1 KiB | 2025-Dec-24 10:53 |
| py3-mando-doc-0.8.2-r0.apk | 3.9 KiB | 2025-Dec-24 10:53 |
| py3-mando-0.8.2-r0.apk | 21.3 KiB | 2025-Dec-24 10:53 |
| py3-maidenhead-pyc-1.8.0-r0.apk | 7.7 KiB | 2025-Aug-11 01:55 |
| py3-maidenhead-doc-1.8.0-r0.apk | 3.4 KiB | 2025-Aug-11 01:55 |
| py3-maidenhead-1.8.0-r0.apk | 7.7 KiB | 2025-Aug-11 01:55 |
| py3-m2crypto-pyc-0.41.0-r2.apk | 122.3 KiB | 2024-Aug-09 22:31 |
| py3-m2crypto-0.41.0-r2.apk | 199.4 KiB | 2024-Aug-09 22:31 |
| py3-lzo-pyc-1.16-r1.apk | 1.7 KiB | 2024-Apr-30 01:07 |
| py3-lzo-1.16-r1.apk | 16.3 KiB | 2024-Apr-30 01:07 |
| py3-ly-pyc-0.9.9-r0.apk | 351.7 KiB | 2025-Jul-16 12:26 |
| py3-ly-doc-0.9.9-r0.apk | 7.8 KiB | 2025-Jul-16 12:26 |
| py3-ly-0.9.9-r0.apk | 186.3 KiB | 2025-Jul-16 12:26 |
| py3-lxmf-pyc-0.8.0-r0.apk | 109.1 KiB | 2025-Sep-28 04:37 |
| py3-lxmf-0.8.0-r0.apk | 50.5 KiB | 2025-Sep-28 04:37 |
| py3-lunr-pyc-0.6.2-r4.apk | 50.4 KiB | 2024-Aug-07 01:50 |
| py3-lunr-0.6.2-r4.apk | 32.2 KiB | 2024-Aug-07 01:50 |
| py3-luhn-pyc-0.2.0-r9.apk | 2.4 KiB | 2024-Aug-07 01:50 |
| py3-luhn-0.2.0-r9.apk | 3.7 KiB | 2024-Aug-07 01:50 |
| py3-lsprotocol-pyc-2023.0.1-r1.apk | 106.8 KiB | 2024-Apr-30 01:07 |
| py3-lsprotocol-2023.0.1-r1.apk | 69.2 KiB | 2024-Apr-30 01:07 |
| py3-lsp-mypy-pyc-0.7.0-r1.apk | 12.5 KiB | 2025-Aug-30 01:42 |
| py3-lsp-mypy-0.7.0-r1.apk | 12.6 KiB | 2025-Aug-30 01:42 |
| py3-lsp-black-pyc-2.0.0-r1.apk | 6.1 KiB | 2024-Apr-30 01:07 |
| py3-lsp-black-2.0.0-r1.apk | 7.2 KiB | 2024-Apr-30 01:07 |
| py3-logtop-pyc-0.7-r1.apk | 3.8 KiB | 2025-Aug-10 16:56 |
| py3-logtop-0.7-r1.apk | 21.6 KiB | 2025-Aug-10 16:56 |
| py3-log-symbols-pyc-0.0.14-r5.apk | 2.8 KiB | 2024-Aug-07 01:50 |
| py3-log-symbols-0.0.14-r5.apk | 3.9 KiB | 2024-Aug-07 01:50 |
| py3-livestream-pyc-2.1.0-r0.apk | 29.6 KiB | 2024-Nov-25 22:35 |
| py3-livestream-2.1.0-r0.apk | 766.0 KiB | 2024-Nov-25 22:35 |
| py3-litex-hub-valentyusb-2024.04-r0.apk | 111.3 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-software-picolibc-2024...> | 4.7 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-software-compiler_rt-20..> | 2.2 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0...> | 57.7 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.0..> | 2.4 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0..> | 712.4 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 674.9 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0..> | 230.0 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0..> | 7.3 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.1 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-minerva-2024.04-r0...> | 44.6 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-microwatt-2024.04-r..> | 19.5 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-marocchino-2024.04-..> | 207.6 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 111.8 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 499.8 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0..> | 933.9 KiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0..> | 1.9 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-pythondata-cpu-blackparrot-2024.04..> | 5.6 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-Jul-10 03:13 |
| py3-litex-hub-modules-2024.04-r0.apk | 1.4 KiB | 2024-Jul-10 03:13 |
| py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.1 KiB | 2024-Apr-30 01:07 |
| py3-linkify-it-py-2.0.3-r1.apk | 21.1 KiB | 2024-Apr-30 01:07 |
| py3-limits-pyc-3.14.1-r0.apk | 71.2 KiB | 2024-Dec-26 04:50 |
| py3-limits-3.14.1-r0.apk | 33.2 KiB | 2024-Dec-26 04:50 |
| py3-librtmp-pyc-0.3.0-r6.apk | 24.3 KiB | 2024-Apr-30 01:07 |
| py3-librtmp-0.3.0-r6.apk | 35.8 KiB | 2024-Apr-30 01:07 |
| py3-libpyshell-pyc-0.4.1-r1.apk | 18.0 KiB | 2025-Jun-10 09:39 |
| py3-libpyshell-0.4.1-r1.apk | 11.3 KiB | 2025-Jun-10 09:39 |
| py3-libnacl-pyc-2.1.0-r1.apk | 30.1 KiB | 2024-Apr-30 01:07 |
| py3-libnacl-2.1.0-r1.apk | 20.0 KiB | 2024-Apr-30 01:07 |
| py3-libmdbx-pyc-0.10.2-r7.apk | 32.6 KiB | 2024-Aug-07 15:51 |
| py3-libmdbx-0.10.2-r7.apk | 27.6 KiB | 2024-Aug-07 15:51 |
| py3-liblarch-pyc-3.2.0-r6.apk | 49.3 KiB | 2024-Dec-09 00:30 |
| py3-liblarch-3.2.0-r6.apk | 29.3 KiB | 2024-Dec-09 00:30 |
| py3-libiio-0.25-r2.apk | 12.3 KiB | 2024-Aug-07 01:50 |
| py3-libacl-0.7.3-r0.apk | 25.7 KiB | 2025-Oct-16 22:08 |
| py3-lib_users-pyc-0.15-r4.apk | 9.3 KiB | 2024-Aug-07 15:51 |
| py3-lib_users-0.15-r4.apk | 15.4 KiB | 2024-Aug-07 15:51 |
| py3-latex2mathml-pyc-3.78.1-r1.apk | 34.6 KiB | 2025-Sep-29 19:46 |
| py3-latex2mathml-3.78.1-r1.apk | 71.8 KiB | 2025-Sep-29 19:46 |
| py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-Dec-01 20:08 |
| py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-Dec-01 20:08 |
| py3-langcodes-pyc-3.3.0-r2.apk | 109.4 KiB | 2024-Apr-30 01:07 |
| py3-langcodes-3.3.0-r2.apk | 173.3 KiB | 2024-Apr-30 01:07 |
| py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.2 KiB | 2024-Apr-30 01:07 |
| py3-landlock-1.0.0_pre4-r2.apk | 8.1 KiB | 2024-Apr-30 01:07 |
| py3-knowit-pyc-0.5.11-r0.apk | 61.2 KiB | 2025-Nov-24 00:21 |
| py3-knowit-0.5.11-r0.apk | 31.4 KiB | 2025-Nov-24 00:21 |
| py3-kerberos-1.3.1-r5.apk | 16.8 KiB | 2024-Aug-07 01:50 |
| py3-keepalive-pyc-0.5-r5.apk | 12.8 KiB | 2024-Apr-30 01:07 |
| py3-keepalive-doc-0.5-r5.apk | 1.7 KiB | 2024-Apr-30 01:07 |
| py3-keepalive-0.5-r5.apk | 8.7 KiB | 2024-Apr-30 01:07 |
| py3-kazoo-pyc-0_git20211202-r4.apk | 244.2 KiB | 2024-Aug-07 01:50 |
| py3-kazoo-0_git20211202-r4.apk | 124.8 KiB | 2024-Aug-07 01:50 |
| py3-junit-xml-pyc-1.9-r3.apk | 9.1 KiB | 2024-Aug-07 01:50 |
| py3-junit-xml-1.9-r3.apk | 8.1 KiB | 2024-Aug-07 01:50 |
| py3-joserfc-pyc-1.5.0-r0.apk | 110.1 KiB | 2025-Dec-24 10:53 |
| py3-joserfc-1.5.0-r0.apk | 53.8 KiB | 2025-Dec-24 10:53 |
| py3-jaraco.versioning-pyc-1.1.0-r0.apk | 5.8 KiB | 2024-May-12 22:34 |
| py3-jaraco.versioning-1.1.0-r0.apk | 5.6 KiB | 2024-May-12 22:34 |
| py3-jaraco.vcs-pyc-2.4.1-r0.apk | 15.7 KiB | 2025-Mar-10 14:12 |
| py3-jaraco.vcs-2.4.1-r0.apk | 9.9 KiB | 2025-Mar-10 14:12 |
| py3-jaraco.stream-pyc-3.0.4-r0.apk | 7.8 KiB | 2024-Dec-15 02:08 |
| py3-jaraco.stream-3.0.4-r0.apk | 6.5 KiB | 2024-Dec-15 02:08 |
| py3-jaraco.path-pyc-3.7.2-r0.apk | 9.3 KiB | 2024-Oct-17 13:56 |
| py3-jaraco.path-3.7.2-r0.apk | 7.4 KiB | 2024-Oct-17 13:56 |
| py3-jaraco.logging-pyc-3.4.0-r0.apk | 5.9 KiB | 2025-Jun-17 22:42 |
| py3-jaraco.logging-3.4.0-r0.apk | 5.1 KiB | 2025-Jun-17 22:42 |
| py3-janus-pyc-1.2.0-r0.apk | 13.1 KiB | 2024-Dec-13 04:58 |
| py3-janus-1.2.0-r0.apk | 12.1 KiB | 2024-Dec-13 04:58 |
| py3-itunespy-pyc-1.6-r5.apk | 14.3 KiB | 2025-May-15 20:20 |
| py3-itunespy-1.6-r5.apk | 9.5 KiB | 2025-May-15 20:20 |
| py3-iterable-io-pyc-1.0.0-r0.apk | 5.0 KiB | 2024-Jun-27 05:33 |
| py3-iterable-io-1.0.0-r0.apk | 5.8 KiB | 2024-Jun-27 05:33 |
| py3-itemloaders-pyc-1.3.2-r1.apk | 16.3 KiB | 2025-Aug-10 16:56 |
| py3-itemloaders-1.3.2-r1.apk | 12.0 KiB | 2025-Aug-10 16:56 |
| py3-itemadapter-pyc-0.10.0-r0.apk | 12.4 KiB | 2024-Nov-30 21:40 |
| py3-itemadapter-0.10.0-r0.apk | 11.0 KiB | 2024-Nov-30 21:40 |
| py3-iso639-lang-pyc-2.6.3-r0.apk | 12.0 KiB | 2025-Dec-24 10:53 |
| py3-iso639-lang-2.6.3-r0.apk | 310.6 KiB | 2025-Dec-24 10:53 |
| py3-isbnlib-pyc-3.10.14-r0.apk | 66.4 KiB | 2025-Jan-20 05:38 |
| py3-isbnlib-3.10.14-r0.apk | 42.4 KiB | 2025-Jan-20 05:38 |
| py3-irc-pyc-20.4.1-r1.apk | 70.0 KiB | 2025-Oct-06 06:09 |
| py3-irc-20.4.1-r1.apk | 39.8 KiB | 2025-Oct-06 06:09 |
| py3-ioctl-opt-pyc-1.3-r0.apk | 4.4 KiB | 2025-Jan-27 21:46 |
| py3-ioctl-opt-1.3-r0.apk | 11.4 KiB | 2025-Jan-27 21:46 |
| py3-intervals-pyc-0.9.2-r5.apk | 14.9 KiB | 2024-Aug-30 21:44 |
| py3-intervals-0.9.2-r5.apk | 9.2 KiB | 2024-Aug-30 21:44 |
| py3-iniparse-pyc-0.5-r7.apk | 24.4 KiB | 2024-Aug-30 21:44 |
| py3-iniparse-doc-0.5-r7.apk | 10.1 KiB | 2024-Aug-30 21:44 |
| py3-iniparse-0.5-r7.apk | 18.4 KiB | 2024-Aug-30 21:44 |
| py3-infinity-pyc-1.5-r6.apk | 3.5 KiB | 2024-Aug-30 21:44 |
| py3-infinity-1.5-r6.apk | 4.1 KiB | 2024-Aug-30 21:44 |
| py3-incoming-pyc-0.3.1-r8.apk | 19.7 KiB | 2024-Aug-07 01:50 |
| py3-incoming-0.3.1-r8.apk | 12.6 KiB | 2024-Aug-07 01:50 |
| py3-imdbpy-pyc-2021.4.18-r5.apk | 242.3 KiB | 2024-Aug-08 18:23 |
| py3-imdbpy-2021.4.18-r5.apk | 228.7 KiB | 2024-Aug-08 18:23 |
| py3-imageio-pyc-2.37.0-r0.apk | 503.5 KiB | 2025-Jul-16 12:26 |
| py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.0 KiB | 2024-Jul-10 17:46 |
| py3-imageio-ffmpeg-0.4.9-r1.apk | 16.2 KiB | 2024-Jul-10 17:46 |
| py3-imageio-2.37.0-r0.apk | 285.2 KiB | 2025-Jul-16 12:26 |
| py3-igraph-pyc-1.0.0-r0.apk | 374.1 KiB | 2025-Oct-28 02:01 |
| py3-igraph-dev-1.0.0-r0.apk | 2.3 KiB | 2025-Oct-28 02:01 |
| py3-igraph-1.0.0-r0.apk | 411.4 KiB | 2025-Oct-28 02:01 |
| py3-icalendar-searcher-pyc-1.0.3-r0.apk | 25.5 KiB | 2025-Dec-24 10:53 |
| py3-icalendar-searcher-1.0.3-r0.apk | 34.7 KiB | 2025-Dec-24 10:53 |
| py3-hurry.filesize-pyc-0.9-r8.apk | 3.0 KiB | 2024-Aug-07 01:50 |
| py3-hurry.filesize-0.9-r8.apk | 4.4 KiB | 2024-Aug-07 01:50 |
| py3-html5-parser-pyc-0.4.12-r1.apk | 22.0 KiB | 2024-Apr-30 01:07 |
| py3-html5-parser-0.4.12-r1.apk | 166.9 KiB | 2024-Apr-30 01:07 |
| py3-hishel-pyc-0.1.4-r0.apk | 143.3 KiB | 2025-Oct-15 22:29 |
| py3-hishel-0.1.4-r0.apk | 76.6 KiB | 2025-Oct-15 22:29 |
| py3-himitsu-pyc-0.0.9-r0.apk | 7.3 KiB | 2025-Aug-25 11:16 |
| py3-himitsu-0.0.9-r0.apk | 5.5 KiB | 2025-Aug-25 11:16 |
| py3-highctidh-pyc-1.0.2024092800-r0.apk | 11.3 KiB | 2024-Nov-25 20:33 |
| py3-highctidh-1.0.2024092800-r0.apk | 332.7 KiB | 2024-Nov-25 20:33 |
| py3-hg-git-pyc-1.1.1-r1.apk | 106.5 KiB | 2024-Apr-30 01:07 |
| py3-hg-git-1.1.1-r1.apk | 69.8 KiB | 2024-Apr-30 01:07 |
| py3-hfst-3.16.2-r0.apk | 372.5 KiB | 2025-Apr-07 08:33 |
| py3-helper-pyc-2.5.0-r5.apk | 27.9 KiB | 2024-Aug-07 01:50 |
| py3-helper-2.5.0-r5.apk | 18.5 KiB | 2024-Aug-07 01:50 |
| py3-hatch-openzim-pyc-0.2.0-r0.apk | 12.7 KiB | 2024-Apr-30 01:07 |
| py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.4 KiB | 2024-Apr-30 01:07 |
| py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 23.9 KiB | 2024-Apr-30 01:07 |
| py3-hatch-openzim-0.2.0-r0.apk | 24.2 KiB | 2024-Apr-30 01:07 |
| py3-halo-pyc-0.0.31-r5.apk | 13.7 KiB | 2024-Aug-07 01:50 |
| py3-halo-0.0.31-r5.apk | 11.2 KiB | 2024-Aug-07 01:50 |
| py3-gtkspellcheck-pyc-5.0.3-r1.apk | 29.4 KiB | 2025-Aug-10 16:56 |
| py3-gtkspellcheck-5.0.3-r1.apk | 44.5 KiB | 2025-Aug-10 16:56 |
| py3-grequests-pyc-0.7.0-r3.apk | 5.6 KiB | 2025-May-15 20:20 |
| py3-grequests-0.7.0-r3.apk | 6.5 KiB | 2025-May-15 20:20 |
| py3-googletrans-pyc-3.0.0-r5.apk | 17.2 KiB | 2024-Aug-07 15:51 |
| py3-googletrans-3.0.0-r5.apk | 15.2 KiB | 2024-Aug-07 15:51 |
| py3-google-trans-new-pyc-1.1.9-r2.apk | 10.3 KiB | 2024-Apr-30 01:07 |
| py3-google-trans-new-1.1.9-r2.apk | 8.9 KiB | 2024-Apr-30 01:07 |
| py3-gls-pyc-1.3.1-r1.apk | 83.9 KiB | 2024-Apr-30 01:07 |
| py3-gls-1.3.1-r1.apk | 46.5 KiB | 2024-Apr-30 01:07 |
| py3-glob2-pyc-0.7-r6.apk | 12.6 KiB | 2024-Jul-13 17:11 |
| py3-glob2-0.7-r6.apk | 10.0 KiB | 2024-Jul-13 17:11 |
| py3-github3-pyc-4.0.1-r1.apk | 226.7 KiB | 2024-Apr-30 01:07 |
| py3-github3-4.0.1-r1.apk | 128.0 KiB | 2024-Apr-30 01:07 |
| py3-git-versioner-pyc-7.1-r1.apk | 13.2 KiB | 2024-Apr-30 01:07 |
| py3-git-versioner-7.1-r1.apk | 11.7 KiB | 2024-Apr-30 01:07 |
| py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.1 KiB | 2024-Aug-30 21:44 |
| py3-gevent-websocket-0.10.1-r8.apk | 19.5 KiB | 2024-Aug-30 21:44 |
| py3-geoip-1.3.2-r4.apk | 22.3 KiB | 2024-Aug-08 18:23 |
| py3-gdcm-3.2.2-r3.apk | 700.1 KiB | 2025-Dec-24 10:53 |
| py3-furl-pyc-2.1.4-r0.apk | 32.2 KiB | 2025-Jul-16 12:26 |
| py3-furl-2.1.4-r0.apk | 27.3 KiB | 2025-Jul-16 12:26 |
| py3-freetype-py-2.5.1-r0.apk | 160.7 KiB | 2024-Sep-10 22:00 |
| py3-fpdf-pyc-1.7.2-r5.apk | 88.9 KiB | 2024-Apr-30 01:07 |
| py3-fpdf-1.7.2-r5.apk | 39.4 KiB | 2024-Apr-30 01:07 |
| py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.4 KiB | 2024-Apr-30 01:07 |
| py3-forbiddenfruit-0.1.4-r2.apk | 8.7 KiB | 2024-Apr-30 01:07 |
| py3-flask-themer-pyc-2.0.0-r2.apk | 6.7 KiB | 2024-Aug-08 18:19 |
| py3-flask-themer-2.0.0-r2.apk | 7.6 KiB | 2024-Aug-08 18:19 |
| py3-flask-security-pyc-5.6.2-r0.apk | 227.0 KiB | 2025-Nov-10 03:27 |
| py3-flask-security-5.6.2-r0.apk | 296.5 KiB | 2025-Nov-10 03:27 |
| py3-flask-restless-pyc-0.17.0-r9.apk | 58.8 KiB | 2024-Apr-30 01:07 |
| py3-flask-restless-0.17.0-r9.apk | 40.2 KiB | 2024-Apr-30 01:07 |
| py3-flask-restaction-pyc-0.25.3-r8.apk | 19.5 KiB | 2024-Apr-30 01:07 |
| py3-flask-restaction-0.25.3-r8.apk | 114.6 KiB | 2024-Apr-30 01:07 |
| py3-flask-qrcode-pyc-3.2.0-r0.apk | 5.9 KiB | 2024-Dec-12 06:32 |
| py3-flask-qrcode-3.2.0-r0.apk | 17.8 KiB | 2024-Dec-12 06:32 |
| py3-flask-peewee-pyc-3.0.6-r0.apk | 95.2 KiB | 2024-Sep-22 22:04 |
| py3-flask-peewee-3.0.6-r0.apk | 171.8 KiB | 2024-Sep-22 22:04 |
| py3-flask-paginate-pyc-0.8.1-r6.apk | 10.9 KiB | 2024-Apr-30 01:07 |
| py3-flask-paginate-0.8.1-r6.apk | 7.9 KiB | 2024-Apr-30 01:07 |
| py3-flask-migrate-pyc-4.1.0-r0.apk | 18.2 KiB | 2025-Jul-16 12:26 |
| py3-flask-migrate-4.1.0-r0.apk | 13.1 KiB | 2025-Jul-16 12:26 |
| py3-flask-markdown-pyc-0.3-r8.apk | 3.6 KiB | 2024-Apr-30 01:07 |
| py3-flask-markdown-0.3-r8.apk | 5.3 KiB | 2024-Apr-30 01:07 |
| py3-flask-mailman-pyc-1.1.1-r0.apk | 25.5 KiB | 2024-Jul-10 03:13 |
| py3-flask-mailman-1.1.1-r0.apk | 16.2 KiB | 2024-Jul-10 03:13 |
| py3-flask-loopback-pyc-1.4.7-r7.apk | 7.6 KiB | 2024-Apr-30 01:07 |
| py3-flask-loopback-1.4.7-r7.apk | 5.3 KiB | 2024-Apr-30 01:07 |
| py3-flask-limiter-pyc-3.10.1-r0.apk | 46.7 KiB | 2025-Jan-20 05:38 |
| py3-flask-limiter-3.10.1-r0.apk | 26.3 KiB | 2025-Jan-20 05:38 |
| py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.2 KiB | 2024-Apr-30 01:07 |
| py3-flask-json-schema-0.0.5-r4.apk | 3.8 KiB | 2024-Apr-30 01:07 |
| py3-flask-httpauth-pyc-4.8.0-r3.apk | 10.3 KiB | 2025-Nov-29 12:52 |
| py3-flask-httpauth-4.8.0-r3.apk | 7.6 KiB | 2025-Nov-29 12:52 |
| py3-flask-headers-pyc-1.0-r9.apk | 2.2 KiB | 2024-Apr-30 01:07 |
| py3-flask-headers-1.0-r9.apk | 2.9 KiB | 2024-Apr-30 01:07 |
| py3-flask-gzip-pyc-0.2-r8.apk | 2.5 KiB | 2024-Apr-30 01:07 |
| py3-flask-gzip-0.2-r8.apk | 2.9 KiB | 2024-Apr-30 01:07 |
| py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.4 KiB | 2024-Dec-07 00:51 |
| py3-flask-flatpages-0.8.3-r0.apk | 10.4 KiB | 2024-Dec-07 00:51 |
| py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.0 KiB | 2024-Apr-30 01:07 |
| py3-flask-dbconfig-0.3.12-r8.apk | 85.4 KiB | 2024-Apr-30 01:07 |
| py3-flask-components-pyc-0.1.1-r9.apk | 3.0 KiB | 2024-Apr-30 01:07 |
| py3-flask-components-0.1.1-r9.apk | 3.6 KiB | 2024-Apr-30 01:07 |
| py3-flask-cdn-pyc-1.5.3-r8.apk | 3.8 KiB | 2024-Aug-30 21:44 |
| py3-flask-cdn-1.5.3-r8.apk | 4.5 KiB | 2024-Aug-30 21:44 |
| py3-flask-cache-pyc-0.13.1-r9.apk | 18.0 KiB | 2024-Apr-30 01:07 |
| py3-flask-cache-0.13.1-r9.apk | 12.5 KiB | 2024-Apr-30 01:07 |
| py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 10.6 KiB | 2025-May-15 20:20 |
| py3-flask-bootstrap-3.3.7.1-r9.apk | 448.4 KiB | 2025-May-15 20:20 |
| py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.5 KiB | 2024-Apr-30 01:07 |
| py3-flask-bcrypt-1.0.1-r5.apk | 6.9 KiB | 2024-Apr-30 01:07 |
| py3-flask-basicauth-pyc-0.2.0-r9.apk | 3.8 KiB | 2024-Apr-30 01:07 |
| py3-flask-basicauth-0.2.0-r9.apk | 5.1 KiB | 2024-Apr-30 01:07 |
| py3-flask-autorouter-pyc-0.2.2-r3.apk | 4.7 KiB | 2024-Apr-30 01:07 |
| py3-flask-autorouter-0.2.2-r3.apk | 4.8 KiB | 2024-Apr-30 01:07 |
| py3-flask-admin-pyc-1.6.1-r3.apk | 358.0 KiB | 2024-Apr-30 01:07 |
| py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-Apr-30 01:07 |
| py3-flask-accept-pyc-0.0.7-r0.apk | 3.4 KiB | 2025-Jul-16 12:26 |
| py3-flask-accept-0.0.7-r0.apk | 5.3 KiB | 2025-Jul-16 12:26 |
| py3-flake8-todo-pyc-0.7-r7.apk | 2.0 KiB | 2024-Apr-30 01:07 |
| py3-flake8-todo-0.7-r7.apk | 3.3 KiB | 2024-Apr-30 01:07 |
| py3-flake8-snippets-pyc-0.2-r8.apk | 3.4 KiB | 2024-Apr-30 01:07 |
| py3-flake8-snippets-0.2-r8.apk | 5.0 KiB | 2024-Apr-30 01:07 |
| py3-flake8-print-pyc-5.0.0-r5.apk | 4.1 KiB | 2024-Apr-30 01:07 |
| py3-flake8-print-5.0.0-r5.apk | 6.4 KiB | 2024-Apr-30 01:07 |
| py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.4 KiB | 2024-Aug-07 01:50 |
| py3-flake8-polyfill-1.0.2-r5.apk | 6.8 KiB | 2024-Aug-07 01:50 |
| py3-flake8-isort-pyc-7.0.0-r0.apk | 5.1 KiB | 2025-Oct-27 06:08 |
| py3-flake8-isort-7.0.0-r0.apk | 17.7 KiB | 2025-Oct-27 06:08 |
| py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.5 KiB | 2024-Apr-30 01:07 |
| py3-flake8-import-order-0.18.2-r4.apk | 15.1 KiB | 2024-Apr-30 01:07 |
| py3-flake8-debugger-pyc-4.1.2-r4.apk | 5.7 KiB | 2024-Apr-30 01:07 |
| py3-flake8-debugger-4.1.2-r4.apk | 6.0 KiB | 2024-Apr-30 01:07 |
| py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.1 KiB | 2024-Apr-30 01:07 |
| py3-flake8-copyright-0.2.4-r3.apk | 18.0 KiB | 2024-Apr-30 01:07 |
| py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.6 KiB | 2024-Dec-07 21:55 |
| py3-flake8-builtins-2.5.0-r0.apk | 12.5 KiB | 2024-Dec-07 21:55 |
| py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.3 KiB | 2024-Apr-30 01:07 |
| py3-flake8-blind-except-0.2.1-r4.apk | 4.9 KiB | 2024-Apr-30 01:07 |
| py3-firmata-pyc-1.0.3-r10.apk | 20.6 KiB | 2024-Aug-08 18:19 |
| py3-firmata-1.0.3-r10.apk | 13.9 KiB | 2024-Aug-08 18:19 |
| py3-ffmpeg-pyc-0.2.0-r5.apk | 32.3 KiB | 2025-May-15 20:20 |
| py3-ffmpeg-0.2.0-r5.apk | 23.4 KiB | 2025-May-15 20:20 |
| py3-feedgenerator-pyc-2.1.0-r2.apk | 26.5 KiB | 2024-Aug-07 01:50 |
| py3-feedgenerator-2.1.0-r2.apk | 17.8 KiB | 2024-Aug-07 01:50 |
| py3-feedgen-pyc-1.0.0-r1.apk | 61.4 KiB | 2024-Apr-30 01:07 |
| py3-feedgen-1.0.0-r1.apk | 40.0 KiB | 2024-Apr-30 01:07 |
| py3-fastdiff-pyc-0.3.0-r5.apk | 3.9 KiB | 2024-Aug-07 01:50 |
| py3-fastdiff-0.3.0-r5.apk | 38.2 KiB | 2024-Aug-07 01:50 |
| py3-evohome-client-pyc-0.3.9-r0.apk | 27.0 KiB | 2025-Jul-16 12:26 |
| py3-evohome-client-0.3.9-r0.apk | 18.6 KiB | 2025-Jul-16 12:26 |
| py3-evalidate-pyc-2.1.3-r0.apk | 11.9 KiB | 2025-Dec-24 10:53 |
| py3-evalidate-2.1.3-r0.apk | 13.4 KiB | 2025-Dec-24 10:53 |
| py3-euclid3-pyc-0.01-r8.apk | 32.3 KiB | 2024-Aug-30 21:44 |
| py3-euclid3-0.01-r8.apk | 13.9 KiB | 2024-Aug-30 21:44 |
| py3-eradicate-pyc-2.3.0-r2.apk | 8.1 KiB | 2024-Aug-30 21:44 |
| py3-eradicate-doc-2.3.0-r2.apk | 2.3 KiB | 2024-Aug-30 21:44 |
| py3-eradicate-2.3.0-r2.apk | 7.3 KiB | 2024-Aug-30 21:44 |
| py3-enzyme-pyc-0.5.2-r0.apk | 18.5 KiB | 2025-Jul-16 12:26 |
| py3-enzyme-0.5.2-r0.apk | 22.5 KiB | 2025-Jul-16 12:26 |
| py3-enlighten-pyc-1.14.1-r0.apk | 46.1 KiB | 2025-Aug-28 09:32 |
| py3-enlighten-1.14.1-r0.apk | 36.6 KiB | 2025-Aug-28 09:32 |
| py3-empy-pyc-3.3.4-r7.apk | 58.2 KiB | 2024-Aug-08 18:23 |
| py3-empy-3.3.4-r7.apk | 39.1 KiB | 2024-Aug-08 18:23 |
| py3-editdistance-s-pyc-1.0.0-r6.apk | 1.8 KiB | 2024-Aug-07 01:50 |
| py3-editdistance-s-1.0.0-r6.apk | 14.0 KiB | 2024-Aug-07 01:50 |
| py3-edalize-pyc-0.5.4-r0.apk | 189.9 KiB | 2024-Jul-23 01:14 |
| py3-edalize-0.5.4-r0.apk | 122.3 KiB | 2024-Jul-23 01:14 |
| py3-ecos-pyc-2.0.11-r4.apk | 3.4 KiB | 2024-Aug-07 15:51 |
| py3-ecos-2.0.11-r4.apk | 26.8 KiB | 2024-Aug-07 15:51 |
| py3-ecbdata-0.1.1-r0.apk | 13.2 KiB | 2025-Apr-15 14:08 |
| py3-dweepy-pyc-0.3.0-r7.apk | 6.0 KiB | 2024-Apr-30 01:07 |
| py3-dweepy-0.3.0-r7.apk | 8.8 KiB | 2024-Apr-30 01:07 |
| py3-duniterpy-1.1.1-r3.apk | 221.0 KiB | 2024-Apr-30 01:07 |
| py3-dunamai-pyc-1.25.0-r0.apk | 43.6 KiB | 2025-Jul-25 22:25 |
| py3-dunamai-1.25.0-r0.apk | 26.6 KiB | 2025-Jul-25 22:25 |
| py3-drf-yasg-pyc-1.21.10-r0.apk | 98.0 KiB | 2025-Jul-16 12:26 |
| py3-drf-yasg-1.21.10-r0.apk | 4.0 MiB | 2025-Jul-16 12:26 |
| py3-dpath-pyc-2.2.0-r0.apk | 17.5 KiB | 2024-Sep-22 22:04 |
| py3-dpath-2.2.0-r0.apk | 16.8 KiB | 2024-Sep-22 22:04 |
| py3-downloader-cli-pyc-0.3.4-r2.apk | 13.9 KiB | 2025-May-15 20:20 |
| py3-downloader-cli-0.3.4-r2.apk | 10.9 KiB | 2025-May-15 20:20 |
| py3-dotty-dict-pyc-1.3.1-r4.apk | 8.4 KiB | 2024-Aug-07 01:50 |
| py3-dotty-dict-1.3.1-r4.apk | 8.1 KiB | 2024-Aug-07 01:50 |
| py3-dominate-pyc-2.9.1-r1.apk | 33.5 KiB | 2024-Apr-30 01:07 |
| py3-dominate-2.9.1-r1.apk | 24.5 KiB | 2024-Apr-30 01:07 |
| py3-doit-pyc-0.36.0-r5.apk | 133.0 KiB | 2024-Aug-30 21:44 |
| py3-doit-0.36.0-r5.apk | 76.2 KiB | 2024-Aug-30 21:44 |
| py3-doi-pyc-0.2-r0.apk | 4.5 KiB | 2025-Apr-15 14:08 |
| py3-doi-0.2-r0.apk | 5.9 KiB | 2025-Apr-15 14:08 |
| py3-dogpile.cache-pyc-1.3.3-r1.apk | 90.2 KiB | 2025-May-15 20:20 |
| py3-dogpile.cache-1.3.3-r1.apk | 52.3 KiB | 2025-May-15 20:20 |
| py3-dnslib-pyc-0.9.26-r0.apk | 108.8 KiB | 2025-Sep-01 18:59 |
| py3-dnslib-0.9.26-r0.apk | 56.1 KiB | 2025-Sep-01 18:59 |
| py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 4.7 KiB | 2024-Apr-30 01:07 |
| py3-django-taggit-serializer-0.1.7-r8.apk | 3.7 KiB | 2024-Apr-30 01:07 |
| py3-django-suit-pyc-0.2.28-r8.apk | 32.2 KiB | 2024-Aug-07 01:50 |
| py3-django-suit-0.2.28-r8.apk | 365.5 KiB | 2024-Aug-07 01:50 |
| py3-django-compress-staticfiles-pyc-1.0.1_beta0-..> | 14.6 KiB | 2024-Aug-08 18:23 |
| py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.4 KiB | 2024-Aug-08 18:23 |
| py3-distorm3-pyc-3.5.2-r6.apk | 48.4 KiB | 2024-Aug-08 18:19 |
| py3-distorm3-3.5.2-r6.apk | 47.2 KiB | 2024-Aug-08 18:19 |
| py3-discid-pyc-1.3.0-r0.apk | 13.2 KiB | 2025-Jul-28 21:33 |
| py3-discid-1.3.0-r0.apk | 12.3 KiB | 2025-Jul-28 21:33 |
| py3-dexml-pyc-0.5.1-r9.apk | 37.2 KiB | 2024-Apr-30 01:07 |
| py3-dexml-0.5.1-r9.apk | 21.9 KiB | 2024-Apr-30 01:07 |
| py3-deluge-client-pyc-1.10.2-r0.apk | 19.4 KiB | 2024-Aug-30 21:33 |
| py3-deluge-client-doc-1.10.2-r0.apk | 2.0 KiB | 2024-Aug-30 21:33 |
| py3-deluge-client-1.10.2-r0.apk | 12.6 KiB | 2024-Aug-30 21:33 |
| py3-dbus-fast-pyc-3.1.2-r0.apk | 129.9 KiB | 2025-Nov-23 21:23 |
| py3-dbus-fast-doc-3.1.2-r0.apk | 6.0 KiB | 2025-Nov-23 21:23 |
| py3-dbus-fast-3.1.2-r0.apk | 780.5 KiB | 2025-Nov-23 21:23 |
| py3-daterangestr-pyc-0.0.3-r8.apk | 3.9 KiB | 2024-Apr-30 01:07 |
| py3-daterangestr-0.0.3-r8.apk | 4.1 KiB | 2024-Apr-30 01:07 |
| py3-dateparser-pyc-1.2.2-r0.apk | 334.9 KiB | 2025-Jul-07 05:43 |
| py3-dateparser-1.2.2-r0.apk | 216.0 KiB | 2025-Jul-07 05:43 |
| py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.0 KiB | 2024-Apr-30 01:07 |
| py3-dataclasses-serialization-1.3.1-r3.apk | 10.7 KiB | 2024-Apr-30 01:07 |
| py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.4 KiB | 2024-Jun-12 01:20 |
| py3-dataclasses-json-0.6.7-r0.apk | 27.2 KiB | 2024-Jun-12 01:20 |
| py3-dash-bootstrap-components-1.6.0-r0.apk | 16.2 KiB | 2025-Apr-15 14:08 |
| py3-cython-test-exception-raiser-pyc-25.11.0-r0...> | 1.6 KiB | 2025-Nov-11 19:23 |
| py3-cython-test-exception-raiser-25.11.0-r0.apk | 17.6 KiB | 2025-Nov-11 19:23 |
| py3-cvxpy-pyc-1.2.1-r5.apk | 935.8 KiB | 2024-Aug-07 01:50 |
| py3-cvxpy-1.2.1-r5.apk | 661.7 KiB | 2024-Aug-07 01:50 |
| py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.2 KiB | 2024-Dec-01 20:14 |
| py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.4 KiB | 2024-Dec-01 20:14 |
| py3-cstruct-pyc-6.1-r0.apk | 37.4 KiB | 2025-Aug-22 00:35 |
| py3-cstruct-6.1-r0.apk | 22.9 KiB | 2025-Aug-22 00:35 |
| py3-cssutils-pyc-2.11.1-r1.apk | 278.4 KiB | 2024-Sep-26 03:09 |
| py3-cssutils-2.11.1-r1.apk | 154.9 KiB | 2024-Sep-26 03:09 |
| py3-cryptg-pyc-0.5.0-r0.apk | 1.6 KiB | 2025-May-10 01:44 |
| py3-cryptg-0.5.0-r0.apk | 185.9 KiB | 2025-May-10 01:44 |
| py3-croniter-pyc-6.0.0-r0.apk | 25.3 KiB | 2025-Aug-25 09:06 |
| py3-croniter-6.0.0-r0.apk | 25.5 KiB | 2025-Aug-25 09:06 |
| py3-createrepo_c-pyc-1.1.4-r0.apk | 14.3 KiB | 2024-Sep-27 02:02 |
| py3-createrepo_c-1.1.4-r0.apk | 42.9 KiB | 2024-Sep-27 02:02 |
| py3-crc16-pyc-0.1.1-r10.apk | 4.4 KiB | 2024-Apr-30 01:07 |
| py3-crc16-0.1.1-r10.apk | 11.4 KiB | 2024-Apr-30 01:07 |
| py3-coreapi-pyc-2.3.3-r9.apk | 43.0 KiB | 2024-Aug-07 01:50 |
| py3-coreapi-2.3.3-r9.apk | 22.0 KiB | 2024-Aug-07 01:50 |
| py3-cookiecutter-pyc-2.6.0-r1.apk | 47.2 KiB | 2024-Apr-30 01:07 |
| py3-cookiecutter-doc-2.6.0-r1.apk | 3.4 KiB | 2024-Apr-30 01:07 |
| py3-cookiecutter-2.6.0-r1.apk | 35.1 KiB | 2024-Apr-30 01:07 |
| py3-confusable-homoglyphs-pyc-3.3.1-r0.apk | 9.1 KiB | 2025-Sep-28 04:37 |
| py3-confusable-homoglyphs-3.3.1-r0.apk | 136.9 KiB | 2025-Sep-28 04:37 |
| py3-compdb-pyc-0.2.0-r8.apk | 39.4 KiB | 2024-Aug-07 15:51 |
| py3-compdb-doc-0.2.0-r8.apk | 2.8 KiB | 2024-Aug-07 15:51 |
| py3-compdb-0.2.0-r8.apk | 23.0 KiB | 2024-Aug-07 15:51 |
| py3-columnize-pyc-0.3.11-r4.apk | 7.2 KiB | 2024-Aug-07 01:50 |
| py3-columnize-0.3.11-r4.apk | 8.3 KiB | 2024-Aug-07 01:50 |
| py3-colorthief-pyc-0.2.1-r1.apk | 9.8 KiB | 2024-Apr-30 01:07 |
| py3-colorthief-0.2.1-r1.apk | 7.0 KiB | 2024-Apr-30 01:07 |
| py3-colander-pyc-2.0-r2.apk | 42.2 KiB | 2024-Aug-07 01:50 |
| py3-colander-2.0-r2.apk | 62.2 KiB | 2024-Aug-07 01:50 |
| py3-cobs-pyc-1.2.0-r4.apk | 12.2 KiB | 2024-Aug-30 21:44 |
| py3-cobs-1.2.0-r4.apk | 15.9 KiB | 2024-Aug-30 21:44 |
| py3-cmd2-pyc-2.4.3-r2.apk | 222.3 KiB | 2024-Apr-30 01:07 |
| py3-cmd2-2.4.3-r2.apk | 139.1 KiB | 2024-Apr-30 01:07 |
| py3-clickclick-pyc-20.10.2-r4.apk | 9.5 KiB | 2024-Aug-07 01:50 |
| py3-clickclick-20.10.2-r4.apk | 7.7 KiB | 2024-Aug-07 01:50 |
| py3-click-threading-pyc-0.5.0-r5.apk | 7.6 KiB | 2024-Aug-08 18:13 |
| py3-click-threading-0.5.0-r5.apk | 6.1 KiB | 2024-Aug-08 18:13 |
| py3-click-default-group-pyc-1.2.4-r1.apk | 4.2 KiB | 2024-Apr-30 01:07 |
| py3-click-default-group-1.2.4-r1.apk | 4.9 KiB | 2024-Apr-30 01:07 |
| py3-click-completion-pyc-0.5.2-r1.apk | 14.0 KiB | 2024-Apr-30 01:07 |
| py3-click-completion-0.5.2-r1.apk | 10.5 KiB | 2024-Apr-30 01:07 |
| py3-class-doc-pyc-1.25-r1.apk | 8.4 KiB | 2024-Apr-30 01:07 |
| py3-class-doc-1.25-r1.apk | 5.8 KiB | 2024-Apr-30 01:07 |
| py3-clang-next-pyc-22.0.0_pre20251108-r0.apk | 61.1 KiB | 2025-Nov-09 12:13 |
| py3-clang-next-22.0.0_pre20251108-r0.apk | 33.9 KiB | 2025-Nov-09 12:13 |
| py3-cjkwrap-pyc-2.2-r6.apk | 5.0 KiB | 2025-May-15 20:20 |
| py3-cjkwrap-2.2-r6.apk | 4.3 KiB | 2025-May-15 20:20 |
| py3-ciso8601-2.3.3-r0.apk | 15.9 KiB | 2025-Nov-10 18:29 |
| py3-chameleon-pyc-4.6.0-r0.apk | 130.4 KiB | 2025-Jul-06 10:26 |
| py3-chameleon-4.6.0-r0.apk | 96.9 KiB | 2025-Jul-06 10:26 |
| py3-certauth-pyc-1.3.0-r1.apk | 8.8 KiB | 2024-Jul-10 17:46 |
| py3-certauth-1.3.0-r1.apk | 8.5 KiB | 2024-Jul-10 17:46 |
| py3-cdio-pyc-2.1.1-r6.apk | 42.9 KiB | 2025-Jan-20 20:47 |
| py3-cdio-2.1.1-r6.apk | 100.3 KiB | 2025-Jan-20 20:47 |
| py3-cchardet-pyc-2.1.7-r5.apk | 2.8 KiB | 2024-Aug-30 21:44 |
| py3-cchardet-2.1.7-r5.apk | 124.1 KiB | 2024-Aug-30 21:44 |
| py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.4 KiB | 2024-Sep-02 20:36 |
| py3-catkin-pkg-0.5.2-r4.apk | 56.9 KiB | 2024-Sep-02 20:36 |
| py3-cassandra-driver-pyc-3.29.2-r0.apk | 559.7 KiB | 2024-Oct-21 23:31 |
| py3-cassandra-driver-3.29.2-r0.apk | 285.6 KiB | 2024-Oct-21 23:31 |
| py3-caldav-pyc-2.2.3-r0.apk | 153.7 KiB | 2025-Dec-24 10:53 |
| py3-caldav-2.2.3-r0.apk | 112.5 KiB | 2025-Dec-24 10:53 |
| py3-c3d-pyc-0.5.2-r1.apk | 53.4 KiB | 2024-Apr-30 01:07 |
| py3-c3d-0.5.2-r1.apk | 31.8 KiB | 2024-Apr-30 01:07 |
| py3-businesstime-pyc-0.3.0-r9.apk | 16.1 KiB | 2024-Apr-30 01:07 |
| py3-businesstime-0.3.0-r9.apk | 10.4 KiB | 2024-Apr-30 01:07 |
| py3-bson-pyc-0.5.10-r6.apk | 18.2 KiB | 2024-Aug-07 01:50 |
| py3-bson-0.5.10-r6.apk | 11.4 KiB | 2024-Aug-07 01:50 |
| py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.0 KiB | 2024-Apr-30 01:07 |
| py3-bottle-werkzeug-0.1.1-r9.apk | 3.8 KiB | 2024-Apr-30 01:07 |
| py3-bottle-websocket-pyc-0.2.9-r8.apk | 2.9 KiB | 2024-Apr-30 01:07 |
| py3-bottle-websocket-0.2.9-r8.apk | 4.4 KiB | 2024-Apr-30 01:07 |
| py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.0 KiB | 2024-Apr-30 01:07 |
| py3-bottle-sqlite-0.2.0-r7.apk | 4.4 KiB | 2024-Apr-30 01:07 |
| py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.4 KiB | 2024-Apr-30 01:07 |
| py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.6 KiB | 2024-Apr-30 01:07 |
| py3-bottle-session-pyc-1.0-r6.apk | 7.5 KiB | 2024-Apr-30 01:07 |
| py3-bottle-session-1.0-r6.apk | 9.9 KiB | 2024-Apr-30 01:07 |
| py3-bottle-rest-pyc-0.6.0-r1.apk | 4.9 KiB | 2024-Apr-30 01:07 |
| py3-bottle-rest-0.6.0-r1.apk | 5.8 KiB | 2024-Apr-30 01:07 |
| py3-bottle-request-pyc-0.2.0-r9.apk | 2.3 KiB | 2024-Apr-30 01:07 |
| py3-bottle-request-0.2.0-r9.apk | 2.9 KiB | 2024-Apr-30 01:07 |
| py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.5 KiB | 2024-Apr-30 01:07 |
| py3-bottle-renderer-0.1.1-r9.apk | 3.7 KiB | 2024-Apr-30 01:07 |
| py3-bottle-redis-pyc-0.2.3-r6.apk | 2.8 KiB | 2024-Apr-30 01:07 |
| py3-bottle-redis-0.2.3-r6.apk | 3.0 KiB | 2024-Apr-30 01:07 |
| py3-bottle-pgsql-0.2-r5.apk | 4.0 KiB | 2024-Apr-30 01:07 |
| py3-bottle-api-pyc-0.0.4-r7.apk | 4.9 KiB | 2024-Apr-30 01:07 |
| py3-bottle-api-0.0.4-r7.apk | 4.6 KiB | 2024-Apr-30 01:07 |
| py3-bookkeeper-pyc-4.17.2-r0.apk | 66.4 KiB | 2025-Jul-16 12:26 |
| py3-bookkeeper-4.17.2-r0.apk | 42.8 KiB | 2025-Jul-16 12:26 |
| py3-blockdiag-tests-3.0.0-r6.apk | 2.5 MiB | 2025-Jan-10 18:23 |
| py3-blockdiag-pyc-3.0.0-r6.apk | 148.6 KiB | 2025-Jan-10 18:23 |
| py3-blockdiag-3.0.0-r6.apk | 67.8 KiB | 2025-Jan-10 18:23 |
| py3-blockchain-pyc-1.4.4-r7.apk | 17.6 KiB | 2024-Aug-07 15:51 |
| py3-blockchain-1.4.4-r7.apk | 10.7 KiB | 2024-Aug-07 15:51 |
| py3-bleak-0.22.3-r0.apk | 369.6 KiB | 2024-Oct-21 02:46 |
| py3-bitstruct-pyc-8.19.0-r1.apk | 12.5 KiB | 2024-Apr-30 01:07 |
| py3-bitstruct-8.19.0-r1.apk | 34.8 KiB | 2024-Apr-30 01:07 |
| py3-bite-parser-pyc-0.2.5-r0.apk | 23.2 KiB | 2024-Oct-28 21:52 |
| py3-bite-parser-0.2.5-r0.apk | 13.4 KiB | 2024-Oct-28 21:52 |
| py3-bidict-pyc-0.23.1-r1.apk | 28.5 KiB | 2024-Apr-30 01:07 |
| py3-bidict-0.23.1-r1.apk | 27.4 KiB | 2024-Apr-30 01:07 |
| py3-bibtexparser-pyc-1.4.3-r0.apk | 48.5 KiB | 2025-Jan-20 05:38 |
| py3-bibtexparser-1.4.3-r0.apk | 40.0 KiB | 2025-Jan-20 05:38 |
| py3-bencode-pyc-4.0.0-r1.apk | 10.2 KiB | 2024-Apr-30 01:07 |
| py3-bencode-4.0.0-r1.apk | 16.8 KiB | 2024-Apr-30 01:07 |
| py3-beartype-pyc-0.22.9-r0.apk | 744.0 KiB | 2025-Dec-24 10:53 |
| py3-beartype-0.22.9-r0.apk | 1.0 MiB | 2025-Dec-24 10:53 |
| py3-barcodenumber-pyc-0.2.1-r10.apk | 4.0 KiB | 2024-Apr-30 01:07 |
| py3-barcodenumber-0.2.1-r10.apk | 16.0 KiB | 2024-Apr-30 01:07 |
| py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 68.9 KiB | 2024-Aug-30 21:44 |
| py3-bandwidth-sdk-3.1.0-r8.apk | 45.7 KiB | 2024-Aug-30 21:44 |
| py3-banal-pyc-1.0.6-r4.apk | 7.0 KiB | 2024-Aug-07 01:50 |
| py3-banal-1.0.6-r4.apk | 6.6 KiB | 2024-Aug-07 01:50 |
| py3-b2sdk-pyc-2.10.2-r0.apk | 429.2 KiB | 2025-Dec-24 10:53 |
| py3-b2sdk-2.10.2-r0.apk | 227.2 KiB | 2025-Dec-24 10:53 |
| py3-avro-pyc-1.11.3-r1.apk | 191.1 KiB | 2024-Apr-30 01:07 |
| py3-avro-1.11.3-r1.apk | 97.4 KiB | 2024-Apr-30 01:07 |
| py3-asysocks-pyc-0.2.18-r0.apk | 319.3 KiB | 2025-Nov-03 12:19 |
| py3-asysocks-examples-0.2.18-r0.apk | 35.4 KiB | 2025-Nov-03 12:19 |
| py3-asysocks-0.2.18-r0.apk | 88.0 KiB | 2025-Nov-03 12:19 |
| py3-asyauth-pyc-0.0.23-r0.apk | 185.5 KiB | 2025-Nov-03 12:19 |
| py3-asyauth-0.0.23-r0.apk | 83.8 KiB | 2025-Nov-03 12:19 |
| py3-astral-pyc-3.2-r3.apk | 58.6 KiB | 2024-Apr-30 01:07 |
| py3-astral-3.2-r3.apk | 36.7 KiB | 2024-Apr-30 01:07 |
| py3-ask-pyc-0.0.8-r8.apk | 4.2 KiB | 2024-Apr-30 01:07 |
| py3-ask-0.0.8-r8.apk | 4.7 KiB | 2024-Apr-30 01:07 |
| py3-asif-pyc-0.3.2-r3.apk | 25.6 KiB | 2024-Aug-07 01:50 |
| py3-asif-0.3.2-r3.apk | 13.1 KiB | 2024-Aug-07 01:50 |
| py3-arcus-5.3.0-r5.apk | 83.5 KiB | 2025-Jun-13 01:58 |
| py3-apt-pyc-3.1.0-r0.apk | 118.7 KiB | 2025-Dec-24 10:53 |
| py3-apt-lang-3.1.0-r0.apk | 79.3 KiB | 2025-Dec-24 10:53 |
| py3-apt-3.1.0-r0.apk | 174.8 KiB | 2025-Dec-24 10:53 |
| py3-apsw-pyc-3.51.1.0-r0.apk | 585.7 KiB | 2025-Nov-30 18:58 |
| py3-apsw-3.51.1.0-r0.apk | 945.7 KiB | 2025-Nov-30 18:58 |
| py3-apio-pyc-0.9.5-r0.apk | 76.9 KiB | 2024-Jun-23 03:00 |
| py3-apio-0.9.5-r0.apk | 72.1 KiB | 2024-Jun-23 03:00 |
| py3-apicula-pyc-0.11.1-r1.apk | 179.2 KiB | 2024-Apr-30 01:07 |
| py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-Apr-30 01:06 |
| py3-anyascii-pyc-0.3.2-r1.apk | 3.0 KiB | 2024-Apr-30 01:06 |
| py3-anyascii-0.3.2-r1.apk | 274.4 KiB | 2024-Apr-30 01:06 |
| py3-ansible-pylibssh-1.2.2-r0.apk | 241.2 KiB | 2025-Jul-19 15:21 |
| py3-altgraph-pyc-0.17.4-r1.apk | 28.9 KiB | 2024-Apr-30 01:06 |
| py3-altgraph-0.17.4-r1.apk | 20.5 KiB | 2024-Apr-30 01:06 |
| py3-allfiles-pyc-1.0-r8.apk | 3.0 KiB | 2024-Apr-30 01:06 |
| py3-allfiles-1.0-r8.apk | 3.3 KiB | 2024-Apr-30 01:06 |
| py3-aioxmpp-pyc-0.13.3-r3.apk | 672.9 KiB | 2024-Aug-08 18:19 |
| py3-aioxmpp-doc-0.13.3-r3.apk | 18.1 KiB | 2024-Aug-08 18:19 |
| py3-aioxmpp-0.13.3-r3.apk | 387.4 KiB | 2024-Aug-08 18:19 |
| py3-aiowinreg-pyc-0.0.13-r0.apk | 43.7 KiB | 2025-Nov-03 12:19 |
| py3-aiowinreg-0.0.13-r0.apk | 21.5 KiB | 2025-Nov-03 12:19 |
| py3-aiosmb-pyc-0.4.14-r0.apk | 1.2 MiB | 2025-Nov-03 12:19 |
| py3-aiosmb-examples-0.4.14-r0.apk | 37.3 KiB | 2025-Nov-03 12:19 |
| py3-aiosmb-0.4.14-r0.apk | 585.2 KiB | 2025-Nov-03 12:19 |
| py3-aiosasl-pyc-0.5.0-r4.apk | 23.7 KiB | 2024-Aug-30 21:44 |
| py3-aiosasl-doc-0.5.0-r4.apk | 16.2 KiB | 2024-Aug-30 21:44 |
| py3-aiosasl-0.5.0-r4.apk | 29.3 KiB | 2024-Aug-30 21:44 |
| py3-aioopenssl-pyc-0.6.0-r4.apk | 18.9 KiB | 2024-Aug-07 01:50 |
| py3-aioopenssl-0.6.0-r4.apk | 20.6 KiB | 2024-Aug-07 01:50 |
| py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.5 KiB | 2024-Oct-15 21:15 |
| py3-aiohttp-session-2.12.1-r0.apk | 10.2 KiB | 2024-Oct-15 21:15 |
| py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.6 KiB | 2024-Nov-04 12:28 |
| py3-aiohttp-remotes-1.3.0-r0.apk | 9.8 KiB | 2024-Nov-04 12:28 |
| py3-aiohttp-jinja2-pyc-1.6-r2.apk | 8.9 KiB | 2024-Apr-30 01:06 |
| py3-aiohttp-jinja2-1.6-r2.apk | 12.1 KiB | 2024-Apr-30 01:06 |
| py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 50.9 KiB | 2024-Aug-07 01:50 |
| py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.7 KiB | 2024-Aug-07 01:50 |
| py3-aiodocker-pyc-0.21.0-r1.apk | 60.1 KiB | 2024-Apr-30 01:06 |
| py3-aiodocker-0.21.0-r1.apk | 29.0 KiB | 2024-Apr-30 01:06 |
| py3-agithub-pyc-2.2.2-r7.apk | 21.0 KiB | 2025-Mar-19 18:48 |
| py3-agithub-2.2.2-r7.apk | 18.6 KiB | 2025-Mar-19 18:48 |
| py3-aesedb-pyc-0.1.8-r0.apk | 74.7 KiB | 2025-Nov-03 12:19 |
| py3-aesedb-examples-0.1.8-r0.apk | 3.2 KiB | 2025-Nov-03 12:19 |
| py3-aesedb-0.1.8-r0.apk | 34.2 KiB | 2025-Nov-03 12:19 |
| py3-actdiag-pyc-3.0.0-r5.apk | 21.2 KiB | 2024-Aug-07 01:50 |
| py3-actdiag-3.0.0-r5.apk | 17.0 KiB | 2024-Aug-07 01:50 |
| pxmenu-1.0.0-r1.apk | 2.6 KiB | 2023-Jun-02 06:12 |
| pxalarm-3.0.0-r0.apk | 2.6 KiB | 2024-May-10 01:53 |
| pwvucontrol-lang-0.5.0-r0.apk | 11.1 KiB | 2025-Oct-21 01:09 |
| pwvucontrol-dbg-0.5.0-r0.apk | 1.9 MiB | 2025-Oct-21 01:09 |
| pwvucontrol-0.5.0-r0.apk | 450.3 KiB | 2025-Oct-21 01:09 |
| pwauth-doc-2.3.11-r2.apk | 6.5 KiB | 2022-Oct-25 13:04 |
| pwauth-2.3.11-r2.apk | 3.2 KiB | 2022-Oct-25 13:04 |
| pw-volume-0.5.0-r1.apk | 306.3 KiB | 2023-May-24 08:51 |
| purple-hangouts-0_git20200422-r0.apk | 191.3 KiB | 2021-Jul-27 08:12 |
| purple-facebook-0.9.6-r0.apk | 63.9 KiB | 2021-Jul-27 08:12 |
| pure-doc-1.23.0-r0.apk | 7.7 KiB | 2025-Oct-20 13:52 |
| pure-1.23.0-r0.apk | 18.1 KiB | 2025-Oct-20 13:52 |
| punch-pyc-0.1.1-r0.apk | 30.3 KiB | 2025-Dec-24 10:53 |
| punch-0.1.1-r0.apk | 29.3 KiB | 2025-Dec-24 10:53 |
| pulsar-client-cpp-dev-3.7.1-r0.apk | 64.2 KiB | 2025-Jun-13 01:58 |
| pulsar-client-cpp-3.7.1-r0.apk | 1.3 MiB | 2025-Jun-13 01:58 |
| pully-openrc-1.0.0-r0.apk | 1.7 KiB | 2022-Mar-01 19:45 |
| pully-1.0.0-r0.apk | 2.5 KiB | 2022-Mar-01 19:45 |
| pug-0.6.5-r0.apk | 3.4 MiB | 2025-Dec-24 10:53 |
| ptylie-doc-0.2-r2.apk | 2.9 KiB | 2025-May-15 20:20 |
| ptylie-0.2-r2.apk | 11.0 KiB | 2025-May-15 20:20 |
| ptpd-openrc-2.3.1-r1.apk | 2.1 KiB | 2022-Oct-25 13:04 |
| ptpd-doc-2.3.1-r1.apk | 20.0 KiB | 2022-Oct-25 13:04 |
| ptpd-2.3.1-r1.apk | 167.7 KiB | 2022-Oct-25 13:04 |
| ptouch-print-doc-1.7-r0.apk | 2.9 KiB | 2025-Sep-26 04:02 |
| ptouch-print-1.7-r0.apk | 25.9 KiB | 2025-Sep-26 04:02 |
| psst-0_git20240526-r1.apk | 7.2 MiB | 2024-Aug-08 21:13 |
| pspp-doc-2.0.1-r1.apk | 8.7 KiB | 2025-Oct-06 14:28 |
| pspp-dbg-2.0.1-r1.apk | 4.6 MiB | 2025-Oct-06 14:28 |
| pspp-2.0.1-r1.apk | 19.1 MiB | 2025-Oct-06 14:28 |
| psi-notify-1.3.1-r0.apk | 9.7 KiB | 2023-Apr-09 12:03 |
| psftools-doc-1.1.2-r0.apk | 59.4 KiB | 2024-Aug-07 01:50 |
| psftools-dev-1.1.2-r0.apk | 91.4 KiB | 2024-Aug-07 01:50 |
| psftools-1.1.2-r0.apk | 222.2 KiB | 2024-Aug-07 01:50 |
| protoconf-0.1.7-r18.apk | 7.5 MiB | 2025-Dec-24 10:53 |
| protoc-gen-gorm-1.1.5-r0.apk | 2.4 MiB | 2025-Dec-24 15:03 |
| protoc-gen-go-grpc-1.77.0-r0.apk | 2.1 MiB | 2025-Dec-24 10:53 |
| protoc-gen-go-1.36.10-r1.apk | 2.2 MiB | 2025-Dec-24 10:53 |
| protoc-gen-bq-schema-3.1.0-r0.apk | 1.6 MiB | 2025-Dec-24 15:24 |
| prosody-modules-0.11_hg20201208-r0.apk | 1.5 KiB | 2021-Jul-27 08:12 |
| prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.6 KiB | 2021-Jul-27 08:12 |
| prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.7 KiB | 2021-Jul-27 08:12 |
| prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.0 KiB | 2021-Jul-27 08:12 |
| prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.0 KiB | 2021-Jul-27 08:12 |
| prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.6 KiB | 2021-Jul-27 08:12 |
| prosody-mod-server_status-0.11_hg20201208-r0.apk | 2.8 KiB | 2021-Jul-27 08:12 |
| prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.6 KiB | 2021-Jul-27 08:12 |
| prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0...> | 2.0 KiB | 2021-Jul-27 08:12 |
| prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.7 KiB | 2021-Jul-27 08:12 |
| prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.0 KiB | 2021-Jul-27 08:12 |
| prosody-mod-register_redirect-0.11_hg20201208-r0..> | 2.7 KiB | 2021-Jul-27 08:12 |
| prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.5 KiB | 2021-Jul-27 08:12 |
| prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.7 KiB | 2021-Jul-27 08:12 |
| prosody-mod-muc_cloud_notify-0.11_hg20201208-r0...> | 6.9 KiB | 2021-Jul-27 08:12 |
| prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.6 KiB | 2021-Jul-27 08:12 |
| prosody-mod-mam-0.11_hg20201208-r0.apk | 5.9 KiB | 2021-Jul-27 08:12 |
| prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.2 KiB | 2021-Jul-27 08:12 |
| prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1.8 KiB | 2021-Jul-27 08:12 |
| prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.0 KiB | 2021-Jul-27 08:12 |
| prosody-mod-http_upload_external-0.11_hg20201208..> | 2.9 KiB | 2021-Jul-27 08:12 |
| prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2.8 KiB | 2021-Jul-27 08:12 |
| prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.3 KiB | 2021-Jul-27 08:12 |
| prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.2 KiB | 2021-Jul-27 08:12 |
| prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0..> | 2.0 KiB | 2021-Jul-27 08:12 |
| prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1.8 KiB | 2021-Jul-27 08:12 |
| prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.1 KiB | 2021-Jul-27 08:12 |
| prosody-mod-block_registrations-0.11_hg20201208-..> | 1.8 KiB | 2021-Jul-27 08:12 |
| prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.6 KiB | 2021-Jul-27 08:12 |
| prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1.8 KiB | 2021-Jul-27 08:12 |
| prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2.8 KiB | 2021-Jul-27 08:12 |
| prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 1.7 KiB | 2025-May-15 20:20 |
| prometheus-unbound-exporter-0.4.6-r5.apk | 3.6 MiB | 2025-May-15 20:20 |
| prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| prometheus-smtp2go-exporter-0.1.1-r4.apk | 3.4 MiB | 2025-Dec-24 10:53 |
| prometheus-smartctl-exporter-openrc-0.14.0-r5.apk | 1.6 KiB | 2025-Dec-24 10:53 |
| prometheus-smartctl-exporter-0.14.0-r5.apk | 4.6 MiB | 2025-Dec-24 10:53 |
| prometheus-podman-exporter-1.18.1-r2.apk | 14.6 MiB | 2025-Dec-24 10:53 |
| prometheus-opnsense-exporter-openrc-0.0.11-r2.apk | 1.8 KiB | 2025-Dec-24 10:53 |
| prometheus-opnsense-exporter-0.0.11-r2.apk | 4.7 MiB | 2025-Dec-24 10:53 |
| prometheus-fastly-exporter-10.2.0-r0.apk | 4.7 MiB | 2025-Dec-24 10:53 |
| prometheus-ceph-exporter-openrc-4.2.5-r9.apk | 1.6 KiB | 2025-Dec-24 10:53 |
| prometheus-ceph-exporter-4.2.5-r9.apk | 3.6 MiB | 2025-Dec-24 10:53 |
| projectsandcastle-loader-0_git20200307-r1.apk | 4.5 KiB | 2022-Oct-25 13:04 |
| projectm-sdl-3.1.12-r2.apk | 351.6 KiB | 2024-May-08 13:23 |
| projectm-pulseaudio-doc-3.1.12-r2.apk | 1.7 KiB | 2024-May-08 13:23 |
| projectm-pulseaudio-3.1.12-r2.apk | 447.0 KiB | 2024-May-08 13:23 |
| projectm-presets-3.1.12-r2.apk | 4.3 MiB | 2024-May-08 13:23 |
| projectm-dev-3.1.12-r2.apk | 1.1 MiB | 2024-May-08 13:23 |
| projectm-3.1.12-r2.apk | 449.3 KiB | 2024-May-08 13:23 |
| prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.5 KiB | 2024-Jan-12 04:29 |
| prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-Jan-12 04:29 |
| prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.1 KiB | 2024-Jan-12 04:29 |
| prjtrellis-db-machxo-0_git20230929-r0.apk | 38.9 KiB | 2024-Jan-12 04:29 |
| prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-Jan-12 04:29 |
| prjtrellis-db-0_git20230929-r0.apk | 3.0 KiB | 2024-Jan-12 04:29 |
| prjtrellis-1.4-r2.apk | 1.3 MiB | 2024-Apr-30 01:06 |
| primesieve-libs-12.10-r0.apk | 121.9 KiB | 2025-Nov-25 19:39 |
| primesieve-doc-12.10-r0.apk | 3.8 KiB | 2025-Nov-25 19:39 |
| primesieve-dev-12.10-r0.apk | 3.5 MiB | 2025-Nov-25 19:39 |
| primesieve-12.10-r0.apk | 42.7 KiB | 2025-Nov-25 19:39 |
| primecount-libs-7.20-r0.apk | 150.5 KiB | 2025-Nov-25 19:39 |
| primecount-doc-7.20-r0.apk | 4.0 KiB | 2025-Nov-25 19:39 |
| primecount-dev-7.20-r0.apk | 4.7 MiB | 2025-Nov-25 19:39 |
| primecount-7.20-r0.apk | 28.7 KiB | 2025-Nov-25 19:39 |
| predict-doc-2.3.1-r0.apk | 15.8 KiB | 2024-Nov-25 09:47 |
| predict-2.3.1-r0.apk | 88.8 KiB | 2024-Nov-25 09:47 |
| pqiv-doc-2.12-r1.apk | 11.8 KiB | 2022-Oct-25 13:04 |
| pqiv-2.12-r1.apk | 58.2 KiB | 2022-Oct-25 13:04 |
| pptpclient-doc-1.10.0-r6.apk | 7.0 KiB | 2025-Jul-01 13:33 |
| pptpclient-1.10.0-r6.apk | 32.8 KiB | 2025-Jul-01 13:33 |
| powerstat-doc-0.04.01-r0.apk | 4.0 KiB | 2024-Jan-03 13:00 |
| powerstat-bash-completion-0.04.01-r0.apk | 2.0 KiB | 2024-Jan-03 13:00 |
| powerstat-0.04.01-r0.apk | 19.2 KiB | 2024-Jan-03 13:00 |
| powerctl-doc-1.1-r6.apk | 2.9 KiB | 2025-Apr-18 20:57 |
| powerctl-1.1-r6.apk | 106.0 KiB | 2025-Apr-18 20:57 |
| pounce-openrc-3.1-r4.apk | 2.5 KiB | 2025-Sep-26 04:02 |
| pounce-doc-3.1-r4.apk | 8.3 KiB | 2025-Sep-26 04:02 |
| pounce-3.1-r4.apk | 29.4 KiB | 2025-Sep-26 04:02 |
| postgresql16-wal2json-2.6-r0.apk | 69.9 KiB | 2024-Jul-10 17:46 |
| postgresql-pgmq-1.1.1-r1.apk | 255.7 KiB | 2024-Aug-31 15:05 |
| postgresql-pg_variables-bitcode-1.2.5_git2023092..> | 55.7 KiB | 2025-Nov-03 16:26 |
| postgresql-pg_variables-1.2.5_git20230922-r1.apk | 22.3 KiB | 2025-Nov-03 16:26 |
| postgresql-pg_later-0.0.14-r1.apk | 614.1 KiB | 2024-Aug-31 15:05 |
| postgresql-hll-bitcode-2.18-r0.apk | 55.3 KiB | 2023-Dec-17 21:32 |
| postgresql-hll-2.18-r0.apk | 27.1 KiB | 2023-Dec-17 21:32 |
| portsmf-dev-239-r2.apk | 19.7 KiB | 2025-Oct-15 12:16 |
| portsmf-239-r2.apk | 57.2 KiB | 2025-Oct-15 12:16 |
| popeye-0.22.1-r9.apk | 27.2 MiB | 2025-Dec-24 10:53 |
| pop-icon-theme-3.5.1-r0.apk | 1.3 MiB | 2025-Mar-25 17:46 |
| pop-cursor-theme-3.5.1-r0.apk | 12.8 MiB | 2025-Mar-25 17:46 |
| pongoos-loader-0_git20210704-r1.apk | 2.1 KiB | 2022-Oct-25 13:04 |
| pomo-doc-0.8.1-r28.apk | 2.5 KiB | 2025-Dec-24 10:53 |
| pomo-0.8.1-r28.apk | 1.6 MiB | 2025-Dec-24 10:53 |
| policycoreutils-lang-3.6-r1.apk | 105.1 KiB | 2024-Oct-15 08:41 |
| policycoreutils-doc-3.6-r1.apk | 22.1 KiB | 2024-Oct-15 08:41 |
| policycoreutils-bash-completion-3.6-r1.apk | 2.2 KiB | 2024-Oct-15 08:41 |
| policycoreutils-3.6-r1.apk | 52.8 KiB | 2024-Oct-15 08:41 |
| pokoy-doc-0.2.5-r0.apk | 2.7 KiB | 2023-May-22 22:58 |
| pokoy-0.2.5-r0.apk | 8.4 KiB | 2023-May-22 22:58 |
| pnmixer-lang-0.7.2-r3.apk | 24.5 KiB | 2023-Dec-14 16:55 |
| pnmixer-doc-0.7.2-r3.apk | 2.0 KiB | 2023-Dec-14 16:55 |
| pnmixer-0.7.2-r3.apk | 140.8 KiB | 2023-Dec-14 16:55 |
| pneink-theme-doc-1.3-r0.apk | 1.4 KiB | 2025-Jul-30 05:58 |
| pneink-theme-1.3-r0.apk | 9.6 KiB | 2025-Jul-30 05:58 |
| pmccabe-doc-2.8-r1.apk | 6.9 KiB | 2022-Oct-25 13:04 |
| pmccabe-2.8-r1.apk | 22.1 KiB | 2022-Oct-25 13:04 |
| plplot-libs-5.15.0-r2.apk | 181.6 KiB | 2022-Oct-25 13:04 |
| plplot-doc-5.15.0-r2.apk | 310.3 KiB | 2022-Oct-25 13:04 |
| plplot-dev-5.15.0-r2.apk | 58.7 KiB | 2022-Oct-25 13:04 |
| plplot-5.15.0-r2.apk | 30.7 KiB | 2022-Oct-25 13:04 |
| plots-0.7.0-r1.apk | 515.5 KiB | 2024-Nov-06 10:08 |
| plib-1.8.5-r3.apk | 1.4 MiB | 2023-Dec-14 16:55 |
| plfit-static-1.0.1-r0.apk | 69.3 KiB | 2025-Jan-04 03:48 |
| plfit-libs-1.0.1-r0.apk | 42.6 KiB | 2025-Jan-04 03:48 |
| plfit-dev-1.0.1-r0.apk | 6.2 KiB | 2025-Jan-04 03:48 |
| plfit-1.0.1-r0.apk | 11.3 KiB | 2025-Jan-04 03:48 |
| please-doc-0.5.6-r0.apk | 15.8 KiB | 2025-Aug-29 11:40 |
| please-0.5.6-r0.apk | 1.0 MiB | 2025-Aug-29 11:40 |
| platformio-core-pyc-6.1.7-r3.apk | 551.5 KiB | 2024-Aug-07 01:50 |
| platformio-core-6.1.7-r3.apk | 262.2 KiB | 2024-Aug-07 01:50 |
| planner-lang-0.14.92-r1.apk | 824.3 KiB | 2024-Dec-09 00:30 |
| planner-doc-0.14.92-r1.apk | 1.9 KiB | 2024-Dec-09 00:30 |
| planner-0.14.92-r1.apk | 343.9 KiB | 2024-Dec-09 00:30 |
| planarity-libs-4.0.1.0-r0.apk | 73.3 KiB | 2025-Oct-19 05:43 |
| planarity-doc-4.0.1.0-r0.apk | 12.8 KiB | 2025-Oct-19 05:43 |
| planarity-dev-4.0.1.0-r0.apk | 22.8 KiB | 2025-Oct-19 05:43 |
| planarity-4.0.1.0-r0.apk | 22.9 KiB | 2025-Oct-19 05:43 |
| plakar-doc-1.0.6-r1.apk | 1.8 KiB | 2025-Dec-24 10:53 |
| plakar-1.0.6-r1.apk | 18.9 MiB | 2025-Dec-24 10:53 |
| pixiewps-doc-1.4.2-r2.apk | 3.1 KiB | 2025-May-15 20:20 |
| pixiewps-1.4.2-r2.apk | 54.3 KiB | 2025-May-15 20:20 |
| pixi-zsh-completion-0.24.2-r0.apk | 10.2 KiB | 2024-Jun-16 21:48 |
| pixi-fish-completion-0.24.2-r0.apk | 9.8 KiB | 2024-Jun-16 21:48 |
| pixi-doc-0.24.2-r0.apk | 6.6 KiB | 2024-Jun-16 21:48 |
| pixi-bash-completion-0.24.2-r0.apk | 6.9 KiB | 2024-Jun-16 21:48 |
| pixi-0.24.2-r0.apk | 8.4 MiB | 2024-Jun-16 21:48 |
| pithos-pyc-1.6.1-r0.apk | 154.0 KiB | 2023-Jun-02 00:25 |
| pithos-doc-1.6.1-r0.apk | 1.8 KiB | 2023-Jun-02 00:25 |
| pithos-1.6.1-r0.apk | 104.2 KiB | 2023-Jun-02 00:25 |
| piper-tts-dev-2023.11.14.2-r14.apk | 140.8 KiB | 2025-Oct-15 22:29 |
| piper-tts-2023.11.14.2-r14.apk | 127.2 KiB | 2025-Oct-15 22:29 |
| piper-phonemize-libs-2023.11.14.4-r9.apk | 69.1 KiB | 2025-Oct-15 22:29 |
| piper-phonemize-dev-2023.11.14.4-r9.apk | 394.0 KiB | 2025-Oct-15 22:29 |
| piper-phonemize-2023.11.14.4-r9.apk | 9.0 MiB | 2025-Oct-15 22:29 |
| pinentry-bemenu-0.14.0-r1.apk | 8.3 KiB | 2025-Jul-06 00:39 |
| pimd-openrc-3.0_git20220201-r0.apk | 1.6 KiB | 2022-Mar-06 10:31 |
| pimd-doc-3.0_git20220201-r0.apk | 34.8 KiB | 2022-Mar-06 10:31 |
| pimd-dense-openrc-2.1.0-r0.apk | 1.6 KiB | 2023-Jan-14 01:49 |
| pimd-dense-doc-2.1.0-r0.apk | 19.4 KiB | 2023-Jan-14 01:49 |
| pimd-dense-2.1.0-r0.apk | 47.4 KiB | 2023-Jan-14 01:49 |
| pimd-3.0_git20220201-r0.apk | 79.6 KiB | 2022-Mar-06 10:31 |
| pikchr-cmd-doc-1.0.0-r0.apk | 2.0 KiB | 2025-Oct-26 23:29 |
| pikchr-cmd-1.0.0-r0.apk | 43.3 KiB | 2025-Oct-26 23:29 |
| pihole-openrc-6.2.3-r0.apk | 1.6 KiB | 2025-Jun-20 18:11 |
| pihole-doc-6.2.3-r0.apk | 3.5 KiB | 2025-Jun-20 18:11 |
| pihole-bash-completion-6.2.3-r0.apk | 1.9 KiB | 2025-Jun-20 18:11 |
| pihole-6.2.3-r0.apk | 5.6 MiB | 2025-Jun-20 18:11 |
| piglit-0_git20241106-r1.apk | 88.9 MiB | 2025-May-15 20:20 |
| pict-rs-openrc-0.5.19-r1.apk | 1.6 KiB | 2025-Oct-15 12:16 |
| pict-rs-0.5.19-r1.apk | 6.4 MiB | 2025-Oct-15 12:16 |
| pick-doc-4.0.0-r0.apk | 3.1 KiB | 2023-May-21 12:09 |
| pick-4.0.0-r0.apk | 9.2 KiB | 2023-May-21 12:09 |
| phpactor-2025.10.17.0-r0.apk | 3.6 MiB | 2025-Nov-20 06:04 |
| php85-snappy-0.2.3-r0.apk | 4.8 KiB | 2025-Dec-25 00:04 |
| php85-pecl-vld-0.19.1-r1.apk | 16.0 KiB | 2025-Sep-28 04:37 |
| php85-pecl-solr-2.9.1-r0.apk | 91.2 KiB | 2025-Nov-25 05:11 |
| php85-pecl-oauth-2.0.10-r0.apk | 34.1 KiB | 2025-Oct-15 12:16 |
| php85-pecl-luasandbox-4.1.3-r0.apk | 30.4 KiB | 2025-Dec-24 10:53 |
| php85-pecl-ev-1.2.2-r0.apk | 41.6 KiB | 2025-Nov-07 17:52 |
| php84-snappy-0.2.3-r0.apk | 4.8 KiB | 2025-Apr-09 21:14 |
| php84-pecl-vld-0.19.1-r0.apk | 16.0 KiB | 2025-Jul-20 13:35 |
| php84-pecl-uv-0.3.0-r0.apk | 52.7 KiB | 2024-Oct-28 12:47 |
| php84-pecl-solr-2.9.1-r0.apk | 91.1 KiB | 2025-Nov-25 05:11 |
| php84-pecl-phpy-1.0.11-r1.apk | 41.8 KiB | 2025-May-15 20:20 |
| php84-pecl-oauth-2.0.10-r0.apk | 35.1 KiB | 2025-Oct-15 12:16 |
| php84-pecl-memprof-3.1.0-r0.apk | 13.5 KiB | 2025-Feb-25 05:12 |
| php84-pecl-mcrypt-1.0.9-r0.apk | 14.9 KiB | 2025-Aug-05 13:16 |
| php84-pecl-ev-1.2.2-r0.apk | 41.6 KiB | 2025-Nov-07 17:52 |
| php84-pecl-csv-0.4.3-r0.apk | 9.6 KiB | 2025-Feb-25 15:46 |
| php83-pecl-zmq-1.1.4-r0.apk | 32.0 KiB | 2023-Dec-14 16:55 |
| php83-pecl-vld-0.19.1-r0.apk | 15.8 KiB | 2025-Jul-20 13:35 |
| php83-pecl-uv-0.3.0-r0.apk | 52.7 KiB | 2024-Sep-18 18:28 |
| php83-pecl-phpy-1.0.11-r1.apk | 41.8 KiB | 2025-May-15 20:20 |
| php83-pecl-oauth-2.0.10-r0.apk | 35.1 KiB | 2025-Oct-15 12:16 |
| php83-pecl-jsmin-3.0.0-r0.apk | 10.4 KiB | 2023-Sep-17 23:36 |
| php83-pecl-excimer-1.2.5-r0.apk | 20.4 KiB | 2025-May-20 18:20 |
| php83-pecl-ev-1.2.2-r0.apk | 41.6 KiB | 2025-Nov-07 17:52 |
| php83-pecl-eio-3.1.4-r0.apk | 29.1 KiB | 2025-Jul-20 15:27 |
| php83-pecl-apfd-1.0.3-r0.apk | 4.2 KiB | 2023-Dec-20 17:35 |
| php82-zip-8.2.30-r1.apk | 26.5 KiB | 2025-Dec-24 10:53 |
| php82-xsl-8.2.30-r1.apk | 12.2 KiB | 2025-Dec-24 10:53 |
| php82-xmlwriter-8.2.30-r1.apk | 12.2 KiB | 2025-Dec-24 10:53 |
| php82-xmlreader-8.2.30-r1.apk | 13.2 KiB | 2025-Dec-24 10:53 |
| php82-xml-8.2.30-r1.apk | 18.5 KiB | 2025-Dec-24 10:53 |
| php82-tokenizer-8.2.30-r1.apk | 10.8 KiB | 2025-Dec-24 10:53 |
| php82-tidy-8.2.30-r1.apk | 18.7 KiB | 2025-Dec-24 10:53 |
| php82-sysvshm-8.2.30-r1.apk | 6.3 KiB | 2025-Dec-24 10:53 |
| php82-sysvsem-8.2.30-r1.apk | 5.4 KiB | 2025-Dec-24 10:53 |
| php82-sysvmsg-8.2.30-r1.apk | 7.3 KiB | 2025-Dec-24 10:53 |
| php82-sqlite3-8.2.30-r1.apk | 21.2 KiB | 2025-Dec-24 10:53 |
| php82-sodium-8.2.30-r1.apk | 27.6 KiB | 2025-Dec-24 10:53 |
| php82-sockets-8.2.30-r1.apk | 36.2 KiB | 2025-Dec-24 10:53 |
| php82-soap-8.2.30-r1.apk | 127.4 KiB | 2025-Dec-24 10:53 |
| php82-snmp-8.2.30-r1.apk | 20.1 KiB | 2025-Dec-24 10:53 |
| php82-snappy-0.2.3-r0.apk | 4.8 KiB | 2025-Apr-09 21:14 |
| php82-simplexml-8.2.30-r1.apk | 21.3 KiB | 2025-Dec-24 10:53 |
| php82-shmop-8.2.30-r1.apk | 5.7 KiB | 2025-Dec-24 10:53 |
| php82-session-8.2.30-r1.apk | 35.1 KiB | 2025-Dec-24 10:53 |
| php82-pspell-8.2.30-r1.apk | 7.8 KiB | 2025-Dec-24 10:53 |
| php82-posix-8.2.30-r1.apk | 10.7 KiB | 2025-Dec-24 10:53 |
| php82-phpdbg-8.2.30-r1.apk | 1.9 MiB | 2025-Dec-24 10:53 |
| php82-phar-8.2.30-r1.apk | 114.8 KiB | 2025-Dec-24 10:53 |
| php82-pgsql-8.2.30-r1.apk | 44.6 KiB | 2025-Dec-24 10:53 |
| php82-pecl-zstd-0.15.2-r0.apk | 16.7 KiB | 2025-Oct-26 23:29 |
| php82-pecl-zephir_parser-1.8.0-r0.apk | 64.2 KiB | 2025-Sep-29 14:44 |
| php82-pecl-yaml-2.3.0-r0.apk | 18.2 KiB | 2025-Nov-17 05:03 |
| php82-pecl-xlswriter-1.5.8-r0.apk | 227.6 KiB | 2025-Oct-26 23:29 |
| php82-pecl-xhprof-assets-2.3.10-r0.apk | 799.6 KiB | 2025-Oct-26 23:29 |
| php82-pecl-xhprof-2.3.10-r0.apk | 12.5 KiB | 2025-Oct-26 23:29 |
| php82-pecl-xdebug-3.5.0-r0.apk | 153.0 KiB | 2025-Dec-24 10:53 |
| php82-pecl-vld-0.19.1-r0.apk | 15.7 KiB | 2025-Jul-20 13:35 |
| php82-pecl-vips-1.0.13-r0.apk | 16.5 KiB | 2025-Oct-26 23:29 |
| php82-pecl-uuid-1.3.0-r0.apk | 6.3 KiB | 2025-Oct-26 23:29 |
| php82-pecl-uploadprogress-doc-2.0.2-r2.apk | 9.3 KiB | 2025-Oct-26 23:29 |
| php82-pecl-uploadprogress-2.0.2-r2.apk | 6.1 KiB | 2025-Oct-26 23:29 |
| php82-pecl-timezonedb-2025.2-r0.apk | 191.2 KiB | 2025-Oct-26 23:29 |
| php82-pecl-teds-1.3.0-r0.apk | 109.8 KiB | 2022-Nov-10 14:41 |
| php82-pecl-swoole-dev-6.1.5-r0.apk | 220.0 KiB | 2025-Dec-24 10:53 |
| php82-pecl-swoole-6.1.5-r0.apk | 943.6 KiB | 2025-Dec-24 10:53 |
| php82-pecl-ssh2-1.4.1-r0.apk | 27.3 KiB | 2025-Oct-26 23:29 |
| php82-pecl-smbclient-1.2.0_pre-r0.apk | 20.8 KiB | 2025-Oct-26 23:29 |
| php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 30.1 KiB | 2024-Mar-29 11:33 |
| php82-pecl-redis-6.3.0-r0.apk | 193.7 KiB | 2025-Nov-07 16:53 |
| php82-pecl-rdkafka-6.0.5-r0.apk | 37.7 KiB | 2025-Oct-26 23:29 |
| php82-pecl-psr-1.2.0-r1.apk | 17.6 KiB | 2025-Oct-26 23:29 |
| php82-pecl-protobuf-4.32.1-r0.apk | 148.9 KiB | 2025-Oct-26 23:29 |
| php82-pecl-pcov-1.0.12-r0.apk | 9.3 KiB | 2025-Oct-26 23:29 |
| php82-pecl-opentelemetry-1.2.1-r0.apk | 12.6 KiB | 2025-Oct-26 23:29 |
| php82-pecl-oauth-2.0.10-r0.apk | 35.0 KiB | 2025-Oct-15 12:16 |
| php82-pecl-msgpack-3.0.0-r0.apk | 27.4 KiB | 2025-Oct-26 23:29 |
| php82-pecl-mongodb-2.1.4-r0.apk | 837.3 KiB | 2025-Oct-26 23:29 |
| php82-pecl-memcached-3.4.0-r0.apk | 46.4 KiB | 2025-Oct-26 23:29 |
| php82-pecl-memcache-8.2-r2.apk | 43.8 KiB | 2025-Oct-26 23:29 |
| php82-pecl-mcrypt-1.0.9-r0.apk | 14.9 KiB | 2025-Oct-26 23:29 |
| php82-pecl-maxminddb-1.13.0-r0.apk | 7.8 KiB | 2025-Nov-21 18:04 |
| php82-pecl-mailparse-3.1.9-r0.apk | 23.3 KiB | 2025-Oct-26 23:29 |
| php82-pecl-lzf-1.7.0-r0.apk | 7.0 KiB | 2025-Oct-26 23:29 |
| php82-pecl-luasandbox-4.1.2-r0.apk | 30.0 KiB | 2025-Oct-26 23:29 |
| php82-pecl-jsmin-3.0.0-r0.apk | 10.4 KiB | 2023-Sep-17 23:36 |
| php82-pecl-immutable_cache-6.1.0-r0.apk | 36.4 KiB | 2022-Dec-04 03:51 |
| php82-pecl-imagick-dev-3.8.1-r0.apk | 2.0 KiB | 2025-Nov-28 00:22 |
| php82-pecl-imagick-3.8.1-r0.apk | 111.9 KiB | 2025-Nov-28 00:22 |
| php82-pecl-igbinary-3.2.17_rc1-r0.apk | 34.7 KiB | 2025-Nov-27 21:29 |
| php82-pecl-grpc-1.76.0-r0.apk | 4.3 MiB | 2025-Oct-26 23:29 |
| php82-pecl-excimer-1.2.5-r0.apk | 20.4 KiB | 2025-May-20 18:20 |
| php82-pecl-event-3.1.4-r0.apk | 50.5 KiB | 2025-Oct-26 23:29 |
| php82-pecl-ds-1.6.0-r0.apk | 61.6 KiB | 2025-Oct-26 23:29 |
| php82-pecl-decimal-1.5.0-r1.apk | 18.6 KiB | 2025-Oct-26 23:29 |
| php82-pecl-brotli-0.18.3-r0.apk | 14.6 KiB | 2025-Dec-01 02:47 |
| php82-pecl-ast-1.1.3-r0.apk | 21.2 KiB | 2025-Oct-26 23:29 |
| php82-pecl-apfd-1.0.3-r0.apk | 4.2 KiB | 2023-Dec-20 17:35 |
| php82-pecl-apcu-5.1.28-r0.apk | 55.4 KiB | 2025-Dec-24 10:53 |
| php82-pecl-amqp-2.1.2-r0.apk | 56.4 KiB | 2025-Oct-26 23:29 |
| php82-pear-8.2.30-r1.apk | 337.6 KiB | 2025-Dec-24 10:53 |
| php82-pdo_sqlite-8.2.30-r1.apk | 12.1 KiB | 2025-Dec-24 10:53 |
| php82-pdo_pgsql-8.2.30-r1.apk | 17.9 KiB | 2025-Dec-24 10:53 |
| php82-pdo_odbc-8.2.30-r1.apk | 12.6 KiB | 2025-Dec-24 10:53 |
| php82-pdo_mysql-8.2.30-r1.apk | 12.4 KiB | 2025-Dec-24 10:53 |
| php82-pdo_dblib-8.2.30-r1.apk | 11.1 KiB | 2025-Dec-24 10:53 |
| php82-pdo-8.2.30-r1.apk | 41.4 KiB | 2025-Dec-24 10:53 |
| php82-pdlib-1.1.0-r1.apk | 508.3 KiB | 2024-Apr-02 20:19 |
| php82-pcntl-8.2.30-r1.apk | 14.1 KiB | 2025-Dec-24 10:53 |
| php82-openssl-8.2.30-r1.apk | 68.8 KiB | 2025-Dec-24 10:53 |
| php82-opcache-8.2.30-r1.apk | 66.2 KiB | 2025-Dec-24 10:53 |
| php82-odbc-8.2.30-r1.apk | 23.6 KiB | 2025-Dec-24 10:53 |
| php82-mysqlnd-8.2.30-r1.apk | 78.1 KiB | 2025-Dec-24 10:53 |
| php82-mysqli-8.2.30-r1.apk | 42.9 KiB | 2025-Dec-24 10:53 |
| php82-mbstring-8.2.30-r1.apk | 629.6 KiB | 2025-Dec-24 10:53 |
| php82-litespeed-8.2.30-r1.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php82-ldap-8.2.30-r1.apk | 30.8 KiB | 2025-Dec-24 10:53 |
| php82-intl-8.2.30-r1.apk | 151.9 KiB | 2025-Dec-24 10:53 |
| php82-imap-8.2.30-r1.apk | 33.2 KiB | 2025-Dec-24 10:53 |
| php82-iconv-8.2.30-r1.apk | 16.3 KiB | 2025-Dec-24 10:53 |
| php82-gmp-8.2.30-r1.apk | 21.3 KiB | 2025-Dec-24 10:53 |
| php82-gettext-8.2.30-r1.apk | 5.6 KiB | 2025-Dec-24 10:53 |
| php82-gd-8.2.30-r1.apk | 118.9 KiB | 2025-Dec-24 10:53 |
| php82-ftp-8.2.30-r1.apk | 23.2 KiB | 2025-Dec-24 10:53 |
| php82-fpm-8.2.30-r1.apk | 1.9 MiB | 2025-Dec-24 10:53 |
| php82-fileinfo-8.2.30-r1.apk | 377.3 KiB | 2025-Dec-24 10:53 |
| php82-ffi-8.2.30-r1.apk | 78.9 KiB | 2025-Dec-24 10:53 |
| php82-exif-8.2.30-r1.apk | 31.0 KiB | 2025-Dec-24 10:53 |
| php82-enchant-8.2.30-r1.apk | 8.3 KiB | 2025-Dec-24 10:53 |
| php82-embed-8.2.30-r1.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php82-dom-8.2.30-r1.apk | 59.0 KiB | 2025-Dec-24 10:53 |
| php82-doc-8.2.30-r1.apk | 72.9 KiB | 2025-Dec-24 10:53 |
| php82-dev-8.2.30-r1.apk | 968.1 KiB | 2025-Dec-24 10:53 |
| php82-dbg-8.2.30-r1.apk | 41.3 MiB | 2025-Dec-24 10:53 |
| php82-dba-8.2.30-r1.apk | 21.5 KiB | 2025-Dec-24 10:53 |
| php82-curl-8.2.30-r1.apk | 37.1 KiB | 2025-Dec-24 10:53 |
| php82-ctype-8.2.30-r1.apk | 4.5 KiB | 2025-Dec-24 10:53 |
| php82-common-8.2.30-r1.apk | 25.0 KiB | 2025-Dec-24 10:53 |
| php82-cgi-8.2.30-r1.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php82-calendar-8.2.30-r1.apk | 13.3 KiB | 2025-Dec-24 10:53 |
| php82-bz2-8.2.30-r1.apk | 9.3 KiB | 2025-Dec-24 10:53 |
| php82-bcmath-8.2.30-r1.apk | 14.6 KiB | 2025-Dec-24 10:53 |
| php82-apache2-8.2.30-r1.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php82-8.2.30-r1.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php81-zip-8.1.34-r0.apk | 24.4 KiB | 2025-Dec-24 10:53 |
| php81-xsl-8.1.34-r0.apk | 12.3 KiB | 2025-Dec-24 10:53 |
| php81-xmlwriter-8.1.34-r0.apk | 12.2 KiB | 2025-Dec-24 10:53 |
| php81-xmlreader-8.1.34-r0.apk | 12.7 KiB | 2025-Dec-24 10:53 |
| php81-xml-8.1.34-r0.apk | 18.4 KiB | 2025-Dec-24 10:53 |
| php81-tokenizer-8.1.34-r0.apk | 10.9 KiB | 2025-Dec-24 10:53 |
| php81-tidy-8.1.34-r0.apk | 18.3 KiB | 2025-Dec-24 10:53 |
| php81-tideways_xhprof-5.0.4-r1.apk | 12.2 KiB | 2022-May-22 15:03 |
| php81-sysvshm-8.1.34-r0.apk | 6.2 KiB | 2025-Dec-24 10:53 |
| php81-sysvsem-8.1.34-r0.apk | 5.4 KiB | 2025-Dec-24 10:53 |
| php81-sysvmsg-8.1.34-r0.apk | 7.1 KiB | 2025-Dec-24 10:53 |
| php81-sqlite3-8.1.34-r0.apk | 20.0 KiB | 2025-Dec-24 10:53 |
| php81-sodium-8.1.34-r0.apk | 26.4 KiB | 2025-Dec-24 10:53 |
| php81-sockets-8.1.34-r0.apk | 35.3 KiB | 2025-Dec-24 10:53 |
| php81-soap-8.1.34-r0.apk | 125.6 KiB | 2025-Dec-24 10:53 |
| php81-snmp-8.1.34-r0.apk | 19.7 KiB | 2025-Dec-24 10:53 |
| php81-simplexml-8.1.34-r0.apk | 21.3 KiB | 2025-Dec-24 10:53 |
| php81-shmop-8.1.34-r0.apk | 5.7 KiB | 2025-Dec-24 10:53 |
| php81-session-8.1.34-r0.apk | 34.4 KiB | 2025-Dec-24 10:53 |
| php81-pspell-8.1.34-r0.apk | 7.9 KiB | 2025-Dec-24 10:53 |
| php81-posix-8.1.34-r0.apk | 10.8 KiB | 2025-Dec-24 10:53 |
| php81-phpdbg-8.1.34-r0.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php81-phar-8.1.34-r0.apk | 114.5 KiB | 2025-Dec-24 10:53 |
| php81-pgsql-8.1.34-r0.apk | 44.6 KiB | 2025-Dec-24 10:53 |
| php81-pecl-zstd-0.15.2-r0.apk | 16.6 KiB | 2025-Sep-26 04:02 |
| php81-pecl-zephir_parser-1.8.0-r0.apk | 64.2 KiB | 2025-Sep-29 14:44 |
| php81-pecl-yaml-2.3.0-r0.apk | 18.0 KiB | 2025-Nov-17 05:03 |
| php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 35.7 KiB | 2024-Jul-12 17:29 |
| php81-pecl-xlswriter-1.5.8-r0.apk | 228.7 KiB | 2024-Nov-11 01:55 |
| php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.4 KiB | 2024-Jul-11 07:30 |
| php81-pecl-xhprof-2.3.10-r0.apk | 12.5 KiB | 2024-Jul-11 07:30 |
| php81-pecl-xdebug-3.5.0-r0.apk | 152.7 KiB | 2025-Dec-24 10:53 |
| php81-pecl-vips-1.0.13-r0.apk | 17.0 KiB | 2024-Apr-30 01:06 |
| php81-pecl-uuid-1.3.0-r0.apk | 6.4 KiB | 2025-May-15 20:20 |
| php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.6 KiB | 2024-Apr-30 01:06 |
| php81-pecl-uploadprogress-2.0.2-r1.apk | 6.2 KiB | 2024-Apr-30 01:06 |
| php81-pecl-timezonedb-2025.2-r0.apk | 191.2 KiB | 2025-Mar-27 11:08 |
| php81-pecl-swoole-dev-6.1.5-r0.apk | 220.1 KiB | 2025-Dec-24 10:53 |
| php81-pecl-swoole-6.1.5-r0.apk | 941.9 KiB | 2025-Dec-24 10:53 |
| php81-pecl-ssh2-1.4.1-r0.apk | 27.9 KiB | 2024-Apr-30 01:06 |
| php81-pecl-smbclient-1.2.0_pre-r0.apk | 20.8 KiB | 2024-Dec-11 00:14 |
| php81-pecl-redis-6.3.0-r0.apk | 192.3 KiB | 2025-Nov-07 16:53 |
| php81-pecl-rdkafka-6.0.5-r0.apk | 37.7 KiB | 2024-Nov-04 12:53 |
| php81-pecl-psr-1.2.0-r0.apk | 17.9 KiB | 2024-Apr-30 01:06 |
| php81-pecl-protobuf-4.32.1-r0.apk | 148.9 KiB | 2025-Oct-01 02:05 |
| php81-pecl-pcov-1.0.12-r0.apk | 9.2 KiB | 2024-Dec-04 17:19 |
| php81-pecl-opentelemetry-1.2.1-r0.apk | 12.6 KiB | 2025-Oct-04 23:16 |
| php81-pecl-oauth-2.0.10-r0.apk | 34.8 KiB | 2025-Oct-15 12:16 |
| php81-pecl-msgpack-3.0.0-r0.apk | 27.2 KiB | 2024-Sep-26 11:25 |
| php81-pecl-mongodb-2.1.4-r0.apk | 837.2 KiB | 2025-Oct-15 12:16 |
| php81-pecl-memprof-3.1.0-r0.apk | 13.5 KiB | 2025-Feb-25 05:12 |
| php81-pecl-memcached-3.4.0-r0.apk | 46.2 KiB | 2025-Oct-15 12:16 |
| php81-pecl-memcache-8.2-r1.apk | 44.0 KiB | 2024-Apr-30 01:06 |
| php81-pecl-mcrypt-1.0.9-r0.apk | 14.9 KiB | 2025-Aug-05 13:16 |
| php81-pecl-maxminddb-1.13.0-r0.apk | 7.8 KiB | 2025-Nov-21 18:04 |
| php81-pecl-mailparse-3.1.9-r0.apk | 23.3 KiB | 2025-Sep-30 12:40 |
| php81-pecl-lzf-1.7.0-r0.apk | 7.1 KiB | 2024-Apr-30 01:06 |
| php81-pecl-luasandbox-4.1.2-r0.apk | 30.1 KiB | 2024-Apr-30 01:06 |
| php81-pecl-jsmin-3.0.0-r0.apk | 10.4 KiB | 2023-Sep-17 23:36 |
| php81-pecl-immutable_cache-6.1.0-r0.apk | 36.1 KiB | 2022-Dec-04 03:51 |
| php81-pecl-imagick-dev-3.8.1-r0.apk | 2.0 KiB | 2025-Nov-28 00:22 |
| php81-pecl-imagick-3.8.1-r0.apk | 111.8 KiB | 2025-Nov-28 00:22 |
| php81-pecl-igbinary-3.2.17_rc1-r0.apk | 34.3 KiB | 2025-Nov-27 21:29 |
| php81-pecl-grpc-1.76.0-r0.apk | 4.3 MiB | 2025-Oct-26 23:29 |
| php81-pecl-event-3.1.4-r0.apk | 50.6 KiB | 2024-Jul-16 11:38 |
| php81-pecl-ds-1.6.0-r0.apk | 61.7 KiB | 2025-May-07 14:09 |
| php81-pecl-decimal-1.5.0-r1.apk | 18.7 KiB | 2024-Apr-30 01:06 |
| php81-pecl-csv-0.4.3-r0.apk | 9.6 KiB | 2025-Feb-25 15:46 |
| php81-pecl-brotli-0.18.3-r0.apk | 14.5 KiB | 2025-Dec-01 02:47 |
| php81-pecl-ast-1.1.3-r0.apk | 21.1 KiB | 2025-Aug-10 20:53 |
| php81-pecl-apcu-5.1.28-r0.apk | 55.2 KiB | 2025-Dec-24 10:53 |
| php81-pecl-amqp-2.1.2-r0.apk | 56.6 KiB | 2024-Apr-30 01:06 |
| php81-pear-8.1.34-r0.apk | 337.6 KiB | 2025-Dec-24 10:53 |
| php81-pdo_sqlite-8.1.34-r0.apk | 12.1 KiB | 2025-Dec-24 10:53 |
| php81-pdo_pgsql-8.1.34-r0.apk | 17.9 KiB | 2025-Dec-24 10:53 |
| php81-pdo_odbc-8.1.34-r0.apk | 11.9 KiB | 2025-Dec-24 10:53 |
| php81-pdo_mysql-8.1.34-r0.apk | 12.4 KiB | 2025-Dec-24 10:53 |
| php81-pdo_dblib-8.1.34-r0.apk | 11.1 KiB | 2025-Dec-24 10:53 |
| php81-pdo-8.1.34-r0.apk | 39.8 KiB | 2025-Dec-24 10:53 |
| php81-pcntl-8.1.34-r0.apk | 12.9 KiB | 2025-Dec-24 10:53 |
| php81-openssl-8.1.34-r0.apk | 67.3 KiB | 2025-Dec-24 10:53 |
| php81-opcache-8.1.34-r0.apk | 63.9 KiB | 2025-Dec-24 10:53 |
| php81-odbc-8.1.34-r0.apk | 22.6 KiB | 2025-Dec-24 10:53 |
| php81-mysqlnd-8.1.34-r0.apk | 78.1 KiB | 2025-Dec-24 10:53 |
| php81-mysqli-8.1.34-r0.apk | 41.7 KiB | 2025-Dec-24 10:53 |
| php81-mbstring-8.1.34-r0.apk | 568.2 KiB | 2025-Dec-24 10:53 |
| php81-litespeed-8.1.34-r0.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php81-ldap-8.1.34-r0.apk | 30.3 KiB | 2025-Dec-24 10:53 |
| php81-intl-8.1.34-r0.apk | 135.1 KiB | 2025-Dec-24 10:53 |
| php81-imap-8.1.34-r0.apk | 32.9 KiB | 2025-Dec-24 10:53 |
| php81-iconv-8.1.34-r0.apk | 15.9 KiB | 2025-Dec-24 10:53 |
| php81-gmp-8.1.34-r0.apk | 20.7 KiB | 2025-Dec-24 10:53 |
| php81-gettext-8.1.34-r0.apk | 5.6 KiB | 2025-Dec-24 10:53 |
| php81-gd-8.1.34-r0.apk | 118.7 KiB | 2025-Dec-24 10:53 |
| php81-ftp-8.1.34-r0.apk | 22.9 KiB | 2025-Dec-24 10:53 |
| php81-fpm-8.1.34-r0.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php81-fileinfo-8.1.34-r0.apk | 377.2 KiB | 2025-Dec-24 10:53 |
| php81-ffi-8.1.34-r0.apk | 77.2 KiB | 2025-Dec-24 10:53 |
| php81-exif-8.1.34-r0.apk | 30.9 KiB | 2025-Dec-24 10:53 |
| php81-enchant-8.1.34-r0.apk | 8.4 KiB | 2025-Dec-24 10:53 |
| php81-embed-8.1.34-r0.apk | 1.7 MiB | 2025-Dec-24 10:53 |
| php81-dom-8.1.34-r0.apk | 58.0 KiB | 2025-Dec-24 10:53 |
| php81-doc-8.1.34-r0.apk | 68.1 KiB | 2025-Dec-24 10:53 |
| php81-dev-8.1.34-r0.apk | 938.9 KiB | 2025-Dec-24 10:53 |
| php81-dba-8.1.34-r0.apk | 21.0 KiB | 2025-Dec-24 10:53 |
| php81-curl-8.1.34-r0.apk | 35.3 KiB | 2025-Dec-24 10:53 |
| php81-ctype-8.1.34-r0.apk | 4.5 KiB | 2025-Dec-24 10:53 |
| php81-common-8.1.34-r0.apk | 24.9 KiB | 2025-Dec-24 10:53 |
| php81-cgi-8.1.34-r0.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php81-calendar-8.1.34-r0.apk | 13.2 KiB | 2025-Dec-24 10:53 |
| php81-bz2-8.1.34-r0.apk | 9.3 KiB | 2025-Dec-24 10:53 |
| php81-bcmath-8.1.34-r0.apk | 14.6 KiB | 2025-Dec-24 10:53 |
| php81-apache2-8.1.34-r0.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| php81-8.1.34-r0.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| phosh-tour-systemd-0.50.0-r2.apk | 1.5 KiB | 2025-Dec-24 10:53 |
| phosh-tour-lang-0.50.0-r2.apk | 32.6 KiB | 2025-Dec-24 10:53 |
| phosh-tour-0.50.0-r2.apk | 38.4 KiB | 2025-Dec-24 10:53 |
| phosh-osk-data-uk-0.42.0-r0.apk | 16.4 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-se-0.42.0-r0.apk | 15.1 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-ru-0.42.0-r0.apk | 19.9 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-pt-0.42.0-r0.apk | 56.7 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-pl-0.42.0-r0.apk | 56.7 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-nl-0.42.0-r0.apk | 53.5 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-it-0.42.0-r0.apk | 58.0 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-fi-0.42.0-r0.apk | 63.7 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-es-0.42.0-r0.apk | 52.1 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-de-0.42.0-r0.apk | 64.3 MiB | 2025-Dec-24 10:53 |
| phosh-osk-data-0.42.0-r0.apk | 1.0 KiB | 2025-Dec-24 10:53 |
| phoronix-test-suite-doc-10.8.4-r2.apk | 287.2 KiB | 2023-Dec-14 16:55 |
| phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.5 KiB | 2023-Dec-14 16:55 |
| phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2023-Dec-14 16:55 |
| pfetch-doc-1.9.4-r0.apk | 5.5 KiB | 2025-Oct-26 23:29 |
| pfetch-1.9.4-r0.apk | 23.0 KiB | 2025-Oct-26 23:29 |
| petitboot-doc-1.15-r0.apk | 7.8 KiB | 2025-Nov-17 05:03 |
| petitboot-dbg-1.15-r0.apk | 617.9 KiB | 2025-Nov-17 05:03 |
| petitboot-1.15-r0.apk | 188.7 KiB | 2025-Nov-17 05:03 |
| pest-language-server-0.3.9-r0.apk | 1.0 MiB | 2024-Apr-30 01:06 |
| persistent-cache-cpp-doc-1.0.9-r0.apk | 2.9 KiB | 2025-Sep-26 04:02 |
| persistent-cache-cpp-dev-1.0.9-r0.apk | 17.6 KiB | 2025-Sep-26 04:02 |
| persistent-cache-cpp-1.0.9-r0.apk | 45.0 KiB | 2025-Sep-26 04:02 |
| perl-xs-object-magic-doc-0.05-r0.apk | 5.1 KiB | 2025-Dec-24 10:53 |
| perl-xs-object-magic-0.05-r0.apk | 9.7 KiB | 2025-Dec-24 10:53 |
| perl-xml-xpathengine-doc-0.14-r0.apk | 10.8 KiB | 2025-Jul-08 16:56 |
| perl-xml-xpathengine-0.14-r0.apk | 21.4 KiB | 2025-Jul-08 16:56 |
| perl-xml-tokeparser-doc-0.05-r0.apk | 6.6 KiB | 2025-Dec-24 10:53 |
| perl-xml-tokeparser-0.05-r0.apk | 7.6 KiB | 2025-Dec-24 10:53 |
| perl-xml-rpc-doc-2.1-r0.apk | 4.6 KiB | 2024-May-26 12:29 |
| perl-xml-rpc-2.1-r0.apk | 5.5 KiB | 2024-May-26 12:29 |
| perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5.2 KiB | 2024-Aug-29 10:07 |
| perl-xml-parser-style-easytree-0.09-r0.apk | 4.7 KiB | 2024-Aug-29 10:07 |
| perl-xml-feed-doc-1.0.0-r0.apk | 12.6 KiB | 2025-Nov-17 18:35 |
| perl-xml-feed-1.0.0-r0.apk | 14.1 KiB | 2025-Nov-17 18:35 |
| perl-xml-descent-doc-1.04-r0.apk | 6.9 KiB | 2025-Dec-24 10:53 |
| perl-xml-descent-1.04-r0.apk | 7.2 KiB | 2025-Dec-24 10:53 |
| perl-xml-bare-doc-0.53-r14.apk | 11.2 KiB | 2025-Jul-01 13:33 |
| perl-xml-bare-0.53-r14.apk | 27.5 KiB | 2025-Jul-01 13:33 |
| perl-xml-atom-doc-0.43-r0.apk | 15.7 KiB | 2024-Jan-14 15:57 |
| perl-xml-atom-0.43-r0.apk | 19.4 KiB | 2024-Jan-14 15:57 |
| perl-x11-xcb-doc-0.24-r0.apk | 13.0 KiB | 2025-Dec-24 10:53 |
| perl-x11-xcb-0.24-r0.apk | 165.9 KiB | 2025-Dec-24 10:53 |
| perl-x11-korgwm-doc-5.0-r0.apk | 11.2 KiB | 2025-Dec-24 10:53 |
| perl-x11-korgwm-5.0-r0.apk | 40.4 KiB | 2025-Dec-24 10:53 |
| perl-x-tiny-doc-0.22-r0.apk | 7.3 KiB | 2024-Apr-30 01:06 |
| perl-x-tiny-0.22-r0.apk | 6.6 KiB | 2024-Apr-30 01:06 |
| perl-web-scraper-doc-0.38-r0.apk | 7.8 KiB | 2025-Jul-16 12:26 |
| perl-web-scraper-0.38-r0.apk | 7.3 KiB | 2025-Jul-16 12:26 |
| perl-web-machine-doc-0.17-r0.apk | 28.4 KiB | 2025-Jun-13 18:18 |
| perl-web-machine-0.17-r0.apk | 20.0 KiB | 2025-Jun-13 18:18 |
| perl-wanted-doc-0.1.0-r0.apk | 10.5 KiB | 2025-Aug-10 16:56 |
| perl-wanted-0.1.0-r0.apk | 19.6 KiB | 2025-Aug-10 16:56 |
| perl-variable-disposition-doc-0.005-r0.apk | 5.4 KiB | 2024-Jan-17 16:50 |
| perl-variable-disposition-0.005-r0.apk | 3.0 KiB | 2024-Jan-17 16:50 |
| perl-url-encode-doc-0.03-r4.apk | 4.4 KiB | 2023-Jul-06 17:21 |
| perl-url-encode-0.03-r4.apk | 4.9 KiB | 2023-Jul-06 17:21 |
| perl-uri-ws-doc-0.03-r0.apk | 4.1 KiB | 2025-Mar-19 18:48 |
| perl-uri-ws-0.03-r0.apk | 2.1 KiB | 2025-Mar-19 18:48 |
| perl-uri-tcp-doc-2.0.0-r0.apk | 4.7 KiB | 2024-Jan-17 16:50 |
| perl-uri-tcp-2.0.0-r0.apk | 2.5 KiB | 2024-Jan-17 16:50 |
| perl-uri-redis-doc-0.02-r0.apk | 4.3 KiB | 2024-Jan-17 16:50 |
| perl-uri-redis-0.02-r0.apk | 2.9 KiB | 2024-Jan-17 16:50 |
| perl-uri-nested-doc-0.10-r0.apk | 3.6 KiB | 2024-Jan-12 15:02 |
| perl-uri-nested-0.10-r0.apk | 3.7 KiB | 2024-Jan-12 15:02 |
| perl-uri-find-doc-20160806-r0.apk | 8.9 KiB | 2025-Mar-23 07:59 |
| perl-uri-find-20160806-r0.apk | 13.4 KiB | 2025-Mar-23 07:59 |
| perl-uri-fetch-doc-0.15-r0.apk | 7.3 KiB | 2024-Jan-14 15:57 |
| perl-uri-fetch-0.15-r0.apk | 6.8 KiB | 2024-Jan-14 15:57 |
| perl-uri-db-doc-0.23-r0.apk | 8.1 KiB | 2025-Jan-09 05:29 |
| perl-uri-db-0.23-r0.apk | 10.6 KiB | 2025-Jan-09 05:29 |
| perl-types-path-tiny-doc-0.006-r0.apk | 3.8 KiB | 2024-Jan-13 12:00 |
| perl-types-path-tiny-0.006-r0.apk | 3.7 KiB | 2024-Jan-13 12:00 |
| perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 45.9 KiB | 2025-Mar-19 18:48 |
| perl-tree-simple-visitorfactory-0.16-r0.apk | 19.7 KiB | 2025-Mar-19 18:48 |
| perl-time-timegm-doc-0.01-r10.apk | 3.6 KiB | 2025-Jul-01 13:33 |
| perl-time-timegm-0.01-r10.apk | 6.3 KiB | 2025-Jul-01 13:33 |
| perl-time-moment-role-timezone-doc-1.000-r0.apk | 3.7 KiB | 2024-Sep-25 16:24 |
| perl-time-moment-role-timezone-1.000-r0.apk | 3.3 KiB | 2024-Sep-25 16:24 |
| perl-time-moment-role-strptime-doc-0.001-r0.apk | 3.1 KiB | 2024-Sep-25 16:24 |
| perl-time-moment-role-strptime-0.001-r0.apk | 2.5 KiB | 2024-Sep-25 16:24 |
| perl-time-moment-doc-0.46-r0.apk | 35.4 KiB | 2025-Dec-24 10:53 |
| perl-time-moment-0.46-r0.apk | 39.8 KiB | 2025-Dec-24 10:53 |
| perl-tie-toobject-doc-0.03-r0.apk | 2.9 KiB | 2025-Mar-19 18:48 |
| perl-tie-toobject-0.03-r0.apk | 2.3 KiB | 2025-Mar-19 18:48 |
| perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.3 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-scrollbox-0.12-r0.apk | 7.7 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-menu-doc-0.16-r0.apk | 6.7 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-menu-0.16-r0.apk | 6.9 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-floatbox-doc-0.11-r0.apk | 3.9 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-floatbox-0.11-r0.apk | 4.4 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-entry-plugin-completion-doc-0..> | 3.6 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-entry-plugin-completion-0.02-..> | 4.4 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-choice-doc-0.07-r0.apk | 3.2 KiB | 2024-Jan-14 12:56 |
| perl-tickit-widget-choice-0.07-r0.apk | 3.7 KiB | 2024-Jan-14 12:56 |
| perl-throwable-doc-1.001-r1.apk | 7.7 KiB | 2023-Jul-06 17:21 |
| perl-throwable-1.001-r1.apk | 6.0 KiB | 2023-Jul-06 17:21 |
| perl-text-worddiff-doc-0.09-r0.apk | 13.4 KiB | 2025-Jun-09 16:26 |
| perl-text-worddiff-0.09-r0.apk | 10.2 KiB | 2025-Jun-09 16:26 |
| perl-text-table-sprintf-doc-0.008-r0.apk | 5.0 KiB | 2024-Mar-03 07:17 |
| perl-text-table-sprintf-0.008-r0.apk | 5.1 KiB | 2024-Mar-03 07:17 |
| perl-text-table-any-doc-0.117-r0.apk | 6.4 KiB | 2024-Mar-03 07:17 |
| perl-text-table-any-0.117-r0.apk | 7.8 KiB | 2024-Mar-03 07:17 |
| perl-text-simpletable-doc-2.07-r0.apk | 3.2 KiB | 2025-Mar-19 18:48 |
| perl-text-simpletable-2.07-r0.apk | 4.2 KiB | 2025-Mar-19 18:48 |
| perl-text-german-doc-0.06-r0.apk | 2.8 KiB | 2025-Jun-07 02:00 |
| perl-text-german-0.06-r0.apk | 12.8 KiB | 2025-Jun-07 02:00 |
| perl-text-brew-doc-0.02-r5.apk | 3.9 KiB | 2023-Jul-06 17:21 |
| perl-text-brew-0.02-r5.apk | 4.3 KiB | 2023-Jul-06 17:21 |
| perl-test2-tools-explain-doc-0.02-r0.apk | 4.2 KiB | 2024-Mar-09 06:51 |
| perl-test2-tools-explain-0.02-r0.apk | 3.6 KiB | 2024-Mar-09 06:51 |
| perl-test-www-mechanize-doc-1.60-r0.apk | 9.8 KiB | 2025-Apr-15 14:08 |
| perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6.0 KiB | 2025-Apr-20 08:21 |
| perl-test-www-mechanize-catalyst-0.62-r0.apk | 7.1 KiB | 2025-Apr-20 08:21 |
| perl-test-www-mechanize-1.60-r0.apk | 14.6 KiB | 2025-Apr-15 14:08 |
| perl-test-utf8-doc-1.03-r0.apk | 4.6 KiB | 2024-Nov-16 15:09 |
| perl-test-utf8-1.03-r0.apk | 5.3 KiB | 2024-Nov-16 15:09 |
| perl-test-useallmodules-doc-0.17-r1.apk | 3.6 KiB | 2023-Jul-06 17:21 |
| perl-test-useallmodules-0.17-r1.apk | 3.6 KiB | 2023-Jul-06 17:21 |
| perl-test-unit-doc-0.29-r0.apk | 48.5 KiB | 2025-Dec-24 10:53 |
| perl-test-unit-0.29-r0.apk | 35.3 KiB | 2025-Dec-24 10:53 |
| perl-test-trap-doc-0.3.5-r1.apk | 19.6 KiB | 2023-Jul-06 17:21 |
| perl-test-trap-0.3.5-r1.apk | 19.5 KiB | 2023-Jul-06 17:21 |
| perl-test-settings-doc-0.003-r0.apk | 5.8 KiB | 2024-Apr-30 01:06 |
| perl-test-settings-0.003-r0.apk | 4.7 KiB | 2024-Apr-30 01:06 |
| perl-test-roo-doc-1.004-r3.apk | 15.2 KiB | 2023-Jul-06 17:21 |
| perl-test-roo-1.004-r3.apk | 11.7 KiB | 2023-Jul-06 17:21 |
| perl-test-requires-git-doc-1.008-r0.apk | 4.1 KiB | 2024-Feb-05 16:10 |
| perl-test-requires-git-1.008-r0.apk | 4.5 KiB | 2024-Feb-05 16:10 |
| perl-test-redisserver-doc-0.23-r0.apk | 3.8 KiB | 2024-Jan-17 16:50 |
| perl-test-redisserver-0.23-r0.apk | 4.7 KiB | 2024-Jan-17 16:50 |
| perl-test-randomresult-doc-0.001-r0.apk | 3.4 KiB | 2024-Apr-30 01:06 |
| perl-test-randomresult-0.001-r0.apk | 3.2 KiB | 2024-Apr-30 01:06 |
| perl-test-perl-critic-doc-1.04-r0.apk | 6.2 KiB | 2025-Jul-16 12:26 |
| perl-test-perl-critic-1.04-r0.apk | 6.6 KiB | 2025-Jul-16 12:26 |
| perl-test-modern-doc-0.013-r3.apk | 9.6 KiB | 2023-Jul-06 17:21 |
| perl-test-modern-0.013-r3.apk | 14.4 KiB | 2023-Jul-06 17:21 |
| perl-test-memorygrowth-doc-0.05-r0.apk | 5.0 KiB | 2024-Sep-18 00:52 |
| perl-test-memorygrowth-0.05-r0.apk | 6.2 KiB | 2024-Sep-18 00:52 |
| perl-test-lwp-useragent-doc-0.036-r0.apk | 8.1 KiB | 2024-Jan-14 15:57 |
| perl-test-lwp-useragent-0.036-r0.apk | 9.6 KiB | 2024-Jan-14 15:57 |
| perl-test-kwalitee-doc-1.28-r0.apk | 6.6 KiB | 2025-Jul-16 12:26 |
| perl-test-kwalitee-1.28-r0.apk | 6.1 KiB | 2025-Jul-16 12:26 |
| perl-test-files-doc-0.26-r0.apk | 14.3 KiB | 2024-Mar-09 06:51 |
| perl-test-files-0.26-r0.apk | 6.5 KiB | 2024-Mar-09 06:51 |
| perl-test-file-doc-1.995-r0.apk | 6.5 KiB | 2025-Apr-19 18:18 |
| perl-test-file-1.995-r0.apk | 11.2 KiB | 2025-Apr-19 18:18 |
| perl-test-expect-doc-0.34-r0.apk | 3.3 KiB | 2025-Apr-21 17:35 |
| perl-test-expect-0.34-r0.apk | 3.2 KiB | 2025-Apr-21 17:35 |
| perl-test-expander-doc-2.5.1-r0.apk | 19.8 KiB | 2024-Sep-01 04:18 |
| perl-test-expander-2.5.1-r0.apk | 6.9 KiB | 2024-Sep-01 04:18 |
| perl-test-distribution-doc-2.00-r1.apk | 5.8 KiB | 2023-Jul-06 17:21 |
| perl-test-distribution-2.00-r1.apk | 7.5 KiB | 2023-Jul-06 17:21 |
| perl-test-describeme-doc-0.004-r0.apk | 3.9 KiB | 2024-Apr-30 01:06 |
| perl-test-describeme-0.004-r0.apk | 3.3 KiB | 2024-Apr-30 01:06 |
| perl-test-class-tiny-doc-0.03-r0.apk | 5.2 KiB | 2024-Feb-05 16:13 |
| perl-test-class-tiny-0.03-r0.apk | 5.6 KiB | 2024-Feb-05 16:13 |
| perl-test-api-doc-0.010-r2.apk | 4.0 KiB | 2023-Jul-06 17:21 |
| perl-test-api-0.010-r2.apk | 4.8 KiB | 2023-Jul-06 17:21 |
| perl-term-ui-doc-0.50-r1.apk | 8.2 KiB | 2023-Jul-06 17:21 |
| perl-term-ui-0.50-r1.apk | 9.7 KiB | 2023-Jul-06 17:21 |
| perl-term-size-doc-0.211-r5.apk | 3.5 KiB | 2025-Jul-01 13:33 |
| perl-term-size-0.211-r5.apk | 5.3 KiB | 2025-Jul-01 13:33 |
| perl-template-tiny-doc-1.16-r0.apk | 4.8 KiB | 2025-Jul-24 13:11 |
| perl-template-tiny-1.16-r0.apk | 5.3 KiB | 2025-Jul-24 13:11 |
| perl-template-timer-doc-1.00-r0.apk | 3.4 KiB | 2025-Apr-15 14:08 |
| perl-template-timer-1.00-r0.apk | 3.2 KiB | 2025-Apr-15 14:08 |
| perl-template-plugin-number-format-doc-1.06-r4.apk | 4.1 KiB | 2023-Jul-06 17:21 |
| perl-template-plugin-number-format-1.06-r4.apk | 4.6 KiB | 2023-Jul-06 17:21 |
| perl-template-plugin-csv-doc-0.04-r3.apk | 2.8 KiB | 2023-Jul-06 17:21 |
| perl-template-plugin-csv-0.04-r3.apk | 2.5 KiB | 2023-Jul-06 17:21 |
| perl-task-catalyst-doc-4.02-r0.apk | 3.4 KiB | 2025-Jun-15 05:41 |
| perl-task-catalyst-4.02-r0.apk | 2.7 KiB | 2025-Jun-15 05:41 |
| perl-system-command-doc-1.122-r0.apk | 9.9 KiB | 2024-Feb-05 16:10 |
| perl-system-command-1.122-r0.apk | 11.6 KiB | 2024-Feb-05 16:10 |
| perl-sys-virt-doc-11.10.0-r0.apk | 106.2 KiB | 2025-Dec-24 10:53 |
| perl-sys-virt-11.10.0-r0.apk | 211.5 KiB | 2025-Dec-24 10:53 |
| perl-syntax-operator-in-doc-0.10-r1.apk | 5.6 KiB | 2025-Jul-01 13:33 |
| perl-syntax-operator-in-0.10-r1.apk | 9.2 KiB | 2025-Jul-01 13:33 |
| perl-syntax-operator-equ-doc-0.10-r1.apk | 6.3 KiB | 2025-Jul-01 13:33 |
| perl-syntax-operator-equ-0.10-r1.apk | 7.6 KiB | 2025-Jul-01 13:33 |
| perl-syntax-keyword-match-doc-0.15-r1.apk | 7.6 KiB | 2025-Jul-01 13:33 |
| perl-syntax-keyword-match-0.15-r1.apk | 13.2 KiB | 2025-Jul-01 13:33 |
| perl-string-toidentifier-en-doc-0.12-r0.apk | 5.3 KiB | 2025-Jun-09 12:09 |
| perl-string-toidentifier-en-0.12-r0.apk | 5.2 KiB | 2025-Jun-09 12:09 |
| perl-string-escape-doc-2010.002-r0.apk | 7.6 KiB | 2025-Apr-07 08:33 |
| perl-string-escape-2010.002-r0.apk | 8.6 KiB | 2025-Apr-07 08:33 |
| perl-string-crc32-doc-2.100-r5.apk | 3.2 KiB | 2025-Jul-01 13:33 |
| perl-string-crc32-2.100-r5.apk | 6.6 KiB | 2025-Jul-01 13:33 |
| perl-string-compare-constanttime-doc-0.321-r7.apk | 5.0 KiB | 2025-Jul-01 13:33 |
| perl-string-compare-constanttime-0.321-r7.apk | 6.8 KiB | 2025-Jul-01 13:33 |
| perl-string-camelcase-doc-0.04-r2.apk | 3.2 KiB | 2023-Jul-06 17:21 |
| perl-string-camelcase-0.04-r2.apk | 2.9 KiB | 2023-Jul-06 17:21 |
| perl-storable-improved-doc-0.1.3-r0.apk | 6.7 KiB | 2024-Mar-03 07:17 |
| perl-storable-improved-0.1.3-r0.apk | 6.4 KiB | 2024-Mar-03 07:17 |
| perl-statistics-descriptive-doc-3.0801-r0.apk | 37.2 KiB | 2023-Jul-15 01:32 |
| perl-statistics-descriptive-3.0801-r0.apk | 30.0 KiB | 2023-Jul-15 01:32 |
| perl-statistics-basic-doc-1.6611-r0.apk | 49.5 KiB | 2024-Aug-29 10:07 |
| perl-statistics-basic-1.6611-r0.apk | 9.0 KiB | 2024-Aug-29 10:07 |
| perl-starman-doc-0.4017-r0.apk | 9.7 KiB | 2023-Sep-14 11:49 |
| perl-starman-0.4017-r0.apk | 13.2 KiB | 2023-Sep-14 11:49 |
| perl-sql-abstract-more-doc-1.44-r0.apk | 16.4 KiB | 2025-Jul-16 12:26 |
| perl-sql-abstract-more-1.44-r0.apk | 27.3 KiB | 2025-Jul-16 12:26 |
| perl-sql-abstract-classic-doc-1.91-r1.apk | 20.0 KiB | 2023-Jul-06 17:21 |
| perl-sql-abstract-classic-1.91-r1.apk | 29.3 KiB | 2023-Jul-06 17:21 |
| perl-sort-versions-doc-1.62-r0.apk | 3.9 KiB | 2024-Mar-03 07:17 |
| perl-sort-versions-1.62-r0.apk | 3.5 KiB | 2024-Mar-03 07:17 |
| perl-sort-naturally-doc-1.03-r4.apk | 5.2 KiB | 2023-Jul-06 17:21 |
| perl-sort-naturally-1.03-r4.apk | 8.4 KiB | 2023-Jul-06 17:21 |
| perl-software-license-doc-0.104007-r0.apk | 50.2 KiB | 2025-Jul-08 16:54 |
| perl-software-license-0.104007-r0.apk | 106.4 KiB | 2025-Jul-08 16:54 |
| perl-soap-lite-doc-1.27-r5.apk | 90.2 KiB | 2023-Jul-06 17:21 |
| perl-soap-lite-1.27-r5.apk | 110.0 KiB | 2023-Jul-06 17:21 |
| perl-snowball-swedish-doc-1.2-r0.apk | 3.6 KiB | 2025-Jun-08 21:31 |
| perl-snowball-swedish-1.2-r0.apk | 5.0 KiB | 2025-Jun-08 21:31 |
| perl-snowball-norwegian-doc-1.2-r0.apk | 3.6 KiB | 2025-Jun-08 21:31 |
| perl-snowball-norwegian-1.2-r0.apk | 5.0 KiB | 2025-Jun-08 21:31 |
| perl-snmp-info-doc-3.974000-r0.apk | 389.3 KiB | 2025-Sep-26 04:02 |
| perl-snmp-info-3.974000-r0.apk | 336.7 KiB | 2025-Sep-26 04:02 |
| perl-snmp-doc-5.0404-r14.apk | 13.8 KiB | 2025-Jul-01 13:33 |
| perl-snmp-5.0404-r14.apk | 67.5 KiB | 2025-Jul-01 13:33 |
| perl-smart-comments-doc-1.06-r0.apk | 8.3 KiB | 2025-Jul-07 17:12 |
| perl-smart-comments-1.06-r0.apk | 12.0 KiB | 2025-Jul-07 17:12 |
| perl-signature-attribute-checked-doc-0.06-r1.apk | 4.4 KiB | 2025-Jul-01 13:33 |
| perl-signature-attribute-checked-0.06-r1.apk | 7.3 KiB | 2025-Jul-01 13:33 |
| perl-shell-guess-doc-0.10-r0.apk | 5.5 KiB | 2025-Jun-07 14:55 |
| perl-shell-guess-0.10-r0.apk | 5.7 KiB | 2025-Jun-07 14:55 |
| perl-shell-config-generate-doc-0.34-r0.apk | 6.6 KiB | 2025-Jun-08 21:31 |
| perl-shell-config-generate-0.34-r0.apk | 7.6 KiB | 2025-Jun-08 21:31 |
| perl-set-infinite-doc-0.65-r0.apk | 11.2 KiB | 2025-Jul-21 09:10 |
| perl-set-infinite-0.65-r0.apk | 22.6 KiB | 2025-Jul-21 09:10 |
| perl-session-storage-secure-doc-1.000-r2.apk | 7.1 KiB | 2023-Jul-06 17:21 |
| perl-session-storage-secure-1.000-r2.apk | 8.6 KiB | 2023-Jul-06 17:21 |
| perl-scalar-readonly-doc-0.03-r2.apk | 3.2 KiB | 2025-Jul-01 13:33 |
| perl-scalar-readonly-0.03-r2.apk | 4.9 KiB | 2025-Jul-01 13:33 |
| perl-ryu-doc-4.001-r0.apk | 35.1 KiB | 2024-Sep-19 01:19 |
| perl-ryu-async-doc-0.020-r0.apk | 11.6 KiB | 2024-Jan-17 16:50 |
| perl-ryu-async-0.020-r0.apk | 7.3 KiB | 2024-Jan-17 16:50 |
| perl-ryu-4.001-r0.apk | 25.8 KiB | 2024-Sep-19 01:19 |
| perl-rxperl-mojo-doc-6.8.2-r0.apk | 8.8 KiB | 2024-Aug-08 03:38 |
| perl-rxperl-mojo-6.8.2-r0.apk | 2.6 KiB | 2024-Aug-08 03:38 |
| perl-rxperl-ioasync-doc-6.9.1-r0.apk | 8.7 KiB | 2024-Jan-19 04:23 |
| perl-rxperl-ioasync-6.9.1-r0.apk | 2.6 KiB | 2024-Jan-19 04:23 |
| perl-rxperl-doc-6.29.8-r0.apk | 22.3 KiB | 2024-Aug-29 10:07 |
| perl-rxperl-anyevent-doc-6.8.1-r0.apk | 8.7 KiB | 2024-Jan-19 04:23 |
| perl-rxperl-anyevent-6.8.1-r0.apk | 2.5 KiB | 2024-Jan-19 04:23 |
| perl-rxperl-6.29.8-r0.apk | 26.0 KiB | 2024-Aug-29 10:07 |
| perl-role-eventemitter-doc-0.003-r0.apk | 3.7 KiB | 2024-Jan-13 12:00 |
| perl-role-eventemitter-0.003-r0.apk | 3.4 KiB | 2024-Jan-13 12:00 |
| perl-regexp-trie-doc-0.02-r0.apk | 3.0 KiB | 2025-Jul-16 12:26 |
| perl-regexp-trie-0.02-r0.apk | 2.7 KiB | 2025-Jul-16 12:26 |
| perl-ref-util-xs-doc-0.117-r9.apk | 3.2 KiB | 2025-Jul-01 13:33 |
| perl-ref-util-xs-0.117-r9.apk | 9.4 KiB | 2025-Jul-01 13:33 |
| perl-protocol-redis-faster-doc-0.003-r0.apk | 3.1 KiB | 2024-Jan-12 15:02 |
| perl-protocol-redis-faster-0.003-r0.apk | 3.2 KiB | 2024-Jan-12 15:02 |
| perl-protocol-redis-doc-1.0021-r0.apk | 4.8 KiB | 2024-Sep-03 04:00 |
| perl-protocol-redis-1.0021-r0.apk | 5.4 KiB | 2024-Sep-03 04:00 |
| perl-protocol-database-postgresql-doc-2.001-r0.apk | 37.3 KiB | 2024-Jan-27 15:50 |
| perl-protocol-database-postgresql-2.001-r0.apk | 18.9 KiB | 2024-Jan-27 15:50 |
| perl-promise-xs-doc-0.20-r2.apk | 8.5 KiB | 2025-Jul-01 13:33 |
| perl-promise-xs-0.20-r2.apk | 23.8 KiB | 2025-Jul-01 13:33 |
| perl-promise-me-doc-0.6.0-r0.apk | 12.6 KiB | 2025-Aug-10 16:56 |
| perl-promise-me-0.6.0-r0.apk | 26.9 KiB | 2025-Aug-10 16:56 |
| perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.3 KiB | 2024-Jan-19 00:32 |
| perl-promise-es6-io-async-0.28-r0.apk | 2.7 KiB | 2024-Jan-19 00:32 |
| perl-promise-es6-future-0.28-r0.apk | 2.0 KiB | 2024-Jan-19 00:32 |
| perl-promise-es6-doc-0.28-r0.apk | 11.8 KiB | 2024-Jan-19 00:32 |
| perl-promise-es6-anyevent-0.28-r0.apk | 2.3 KiB | 2024-Jan-19 00:32 |
| perl-promise-es6-0.28-r0.apk | 10.5 KiB | 2024-Jan-19 00:32 |
| perl-proc-guard-doc-0.07-r4.apk | 3.3 KiB | 2024-Jan-04 01:15 |
| perl-proc-guard-0.07-r4.apk | 3.4 KiB | 2024-Jan-04 01:15 |
| perl-prereqscanner-notquitelite-doc-0.9917-r0.apk | 48.8 KiB | 2025-Jul-16 12:26 |
| perl-prereqscanner-notquitelite-0.9917-r0.apk | 40.8 KiB | 2025-Jul-16 12:26 |
| perl-ppi-xs-doc-0.910-r2.apk | 3.2 KiB | 2025-Jul-01 13:33 |
| perl-ppi-xs-0.910-r2.apk | 5.4 KiB | 2025-Jul-01 13:33 |
| perl-pod-tidy-doc-0.10-r1.apk | 10.3 KiB | 2023-Jul-06 17:21 |
| perl-pod-tidy-0.10-r1.apk | 10.1 KiB | 2023-Jul-06 17:21 |
| perl-pod-cpandoc-doc-0.16-r6.apk | 4.6 KiB | 2023-Jul-06 17:21 |
| perl-pod-cpandoc-0.16-r6.apk | 4.3 KiB | 2023-Jul-06 17:21 |
| perl-plack-test-externalserver-doc-0.02-r0.apk | 2.9 KiB | 2025-Mar-19 18:48 |
| perl-plack-test-externalserver-0.02-r0.apk | 2.6 KiB | 2025-Mar-19 18:48 |
| perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 2.8 KiB | 2023-Jul-06 17:21 |
| perl-plack-middleware-reverseproxy-0.16-r2.apk | 2.9 KiB | 2023-Jul-06 17:21 |
| perl-plack-middleware-removeredundantbody-doc-0...> | 2.8 KiB | 2024-Dec-27 14:26 |
| perl-plack-middleware-removeredundantbody-0.09-r..> | 2.2 KiB | 2024-Dec-27 14:26 |
| perl-plack-middleware-methodoverride-doc-0.20-r0..> | 3.8 KiB | 2025-Mar-19 18:48 |
| perl-plack-middleware-methodoverride-0.20-r0.apk | 3.4 KiB | 2025-Mar-19 18:48 |
| perl-plack-middleware-fixmissingbodyinredirect-d..> | 2.8 KiB | 2024-Dec-26 09:37 |
| perl-plack-middleware-fixmissingbodyinredirect-0..> | 2.8 KiB | 2024-Dec-26 09:37 |
| perl-plack-middleware-expires-doc-0.06-r3.apk | 3.1 KiB | 2023-Jul-06 17:21 |
| perl-plack-middleware-expires-0.06-r3.apk | 3.6 KiB | 2023-Jul-06 17:21 |
| perl-perlio-locale-doc-0.10-r13.apk | 2.9 KiB | 2025-Jul-01 13:33 |
| perl-perlio-locale-0.10-r13.apk | 4.1 KiB | 2025-Jul-01 13:33 |
| perl-path-iter-doc-0.2-r3.apk | 4.9 KiB | 2023-Jul-06 17:21 |
| perl-path-iter-0.2-r3.apk | 5.0 KiB | 2023-Jul-06 17:21 |
| perl-path-dispatcher-doc-1.08-r0.apk | 37.5 KiB | 2025-Jun-09 12:09 |
| perl-path-dispatcher-1.08-r0.apk | 14.0 KiB | 2025-Jun-09 12:09 |
| perl-parse-distname-doc-0.05-r0.apk | 4.1 KiB | 2025-Jul-16 12:26 |
| perl-parse-distname-0.05-r0.apk | 5.2 KiB | 2025-Jul-16 12:26 |
| perl-pango-doc-1.227-r12.apk | 82.1 KiB | 2025-Jul-01 13:33 |
| perl-pango-1.227-r12.apk | 78.2 KiB | 2025-Jul-01 13:33 |
| perl-opentracing-doc-1.006-r0.apk | 32.5 KiB | 2024-Jan-17 16:50 |
| perl-opentracing-1.006-r0.apk | 17.7 KiB | 2024-Jan-17 16:50 |
| perl-openapi-client-doc-1.07-r0.apk | 7.1 KiB | 2024-Jan-14 12:53 |
| perl-openapi-client-1.07-r0.apk | 8.4 KiB | 2024-Jan-14 12:53 |
| perl-object-signature-doc-1.08-r0.apk | 5.2 KiB | 2025-Apr-07 08:33 |
| perl-object-signature-1.08-r0.apk | 3.4 KiB | 2025-Apr-07 08:33 |
| perl-object-pad-fieldattr-checked-doc-0.12-r1.apk | 4.3 KiB | 2025-Jul-01 13:33 |
| perl-object-pad-fieldattr-checked-0.12-r1.apk | 7.9 KiB | 2025-Jul-01 13:33 |
| perl-object-array-doc-0.060-r0.apk | 6.7 KiB | 2024-Aug-29 10:07 |
| perl-object-array-0.060-r0.apk | 5.4 KiB | 2024-Aug-29 10:07 |
| perl-number-tolerant-doc-1.710-r0.apk | 25.4 KiB | 2023-Aug-19 19:23 |
| perl-number-tolerant-1.710-r0.apk | 14.6 KiB | 2023-Aug-19 19:23 |
| perl-number-format-doc-1.76-r1.apk | 8.8 KiB | 2023-Jul-06 17:21 |
| perl-number-format-1.76-r1.apk | 15.0 KiB | 2023-Jul-06 17:21 |
| perl-nice-try-doc-1.3.17-r0.apk | 12.2 KiB | 2025-Jul-20 01:38 |
| perl-nice-try-1.3.17-r0.apk | 27.6 KiB | 2025-Jul-20 01:38 |
| perl-netaddr-mac-doc-0.99-r0.apk | 7.8 KiB | 2025-Dec-24 10:53 |
| perl-netaddr-mac-0.99-r0.apk | 9.7 KiB | 2025-Dec-24 10:53 |
| perl-net-patricia-doc-1.24-r0.apk | 6.0 KiB | 2025-Nov-20 15:48 |
| perl-net-patricia-1.24-r0.apk | 20.7 KiB | 2025-Nov-20 15:48 |
| perl-net-netmask-doc-2.0003-r0.apk | 8.3 KiB | 2025-May-18 05:06 |
| perl-net-netmask-2.0003-r0.apk | 13.3 KiB | 2025-May-18 05:06 |
| perl-net-irr-doc-0.10-r0.apk | 4.9 KiB | 2024-Mar-13 16:15 |
| perl-net-irr-0.10-r0.apk | 5.2 KiB | 2024-Mar-13 16:15 |
| perl-net-idn-encode-doc-2.500-r2.apk | 21.6 KiB | 2025-Jul-01 13:33 |
| perl-net-idn-encode-2.500-r2.apk | 82.9 KiB | 2025-Jul-01 13:33 |
| perl-net-dbus-doc-1.2.0-r0.apk | 93.8 KiB | 2025-Dec-24 10:53 |
| perl-net-dbus-1.2.0-r0.apk | 92.3 KiB | 2025-Dec-24 10:53 |
| perl-net-curl-promiser-mojo-0.20-r0.apk | 2.9 KiB | 2024-Feb-05 16:13 |
| perl-net-curl-promiser-ioasync-0.20-r0.apk | 2.7 KiB | 2024-Feb-05 16:13 |
| perl-net-curl-promiser-doc-0.20-r0.apk | 11.4 KiB | 2024-Feb-05 16:13 |
| perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.5 KiB | 2024-Feb-05 16:13 |
| perl-net-curl-promiser-0.20-r0.apk | 8.6 KiB | 2024-Feb-05 16:13 |
| perl-net-curl-doc-0.57-r1.apk | 39.1 KiB | 2025-Jul-01 13:33 |
| perl-net-curl-0.57-r1.apk | 58.9 KiB | 2025-Jul-01 13:33 |
| perl-net-async-redis-xs-doc-1.001-r2.apk | 5.1 KiB | 2025-Jul-01 13:33 |
| perl-net-async-redis-xs-1.001-r2.apk | 8.4 KiB | 2025-Jul-01 13:33 |
| perl-net-async-redis-doc-6.006-r0.apk | 65.6 KiB | 2024-Dec-11 17:03 |
| perl-net-async-redis-6.006-r0.apk | 58.2 KiB | 2024-Dec-11 17:03 |
| perl-net-amqp-rabbitmq-doc-2.40014-r1.apk | 10.5 KiB | 2025-Nov-21 18:04 |
| perl-net-amqp-rabbitmq-2.40014-r1.apk | 82.5 KiB | 2025-Nov-21 18:04 |
| perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.2 KiB | 2024-Jan-17 16:50 |
| perl-net-address-ip-local-0.1.2-r0.apk | 3.2 KiB | 2024-Jan-17 16:50 |
| perl-musicbrainz-discid-doc-0.06-r2.apk | 4.1 KiB | 2025-Jul-01 13:33 |
| perl-musicbrainz-discid-0.06-r2.apk | 9.1 KiB | 2025-Jul-01 13:33 |
| perl-multidimensional-doc-0.014-r1.apk | 2.9 KiB | 2025-Jul-01 13:33 |
| perl-multidimensional-0.014-r1.apk | 4.4 KiB | 2025-Jul-01 13:33 |
| perl-moox-typetiny-doc-0.002003-r0.apk | 2.9 KiB | 2025-Jun-09 12:09 |
| perl-moox-typetiny-0.002003-r0.apk | 3.3 KiB | 2025-Jun-09 12:09 |
| perl-moosex-types-stringlike-doc-0.003-r0.apk | 3.3 KiB | 2025-Mar-15 14:09 |
| perl-moosex-types-stringlike-0.003-r0.apk | 2.8 KiB | 2025-Mar-15 14:09 |
| perl-moosex-types-path-tiny-doc-0.012-r0.apk | 3.9 KiB | 2025-Mar-15 14:09 |
| perl-moosex-types-path-tiny-0.012-r0.apk | 3.7 KiB | 2025-Mar-15 14:09 |
| perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3.5 KiB | 2025-Apr-30 16:22 |
| perl-moosex-types-loadableclass-0.016-r0.apk | 3.0 KiB | 2025-Apr-30 16:22 |
| perl-moosex-traits-pluggable-doc-0.12-r0.apk | 3.9 KiB | 2025-May-01 07:16 |
| perl-moosex-traits-pluggable-0.12-r0.apk | 4.7 KiB | 2025-May-01 07:16 |
| perl-moosex-simpleconfig-doc-0.11-r0.apk | 3.9 KiB | 2025-Mar-16 07:38 |
| perl-moosex-simpleconfig-0.11-r0.apk | 3.5 KiB | 2025-Mar-16 07:38 |
| perl-moosex-role-parameterized-doc-1.11-r0.apk | 23.2 KiB | 2025-Mar-15 14:09 |
| perl-moosex-role-parameterized-1.11-r0.apk | 7.5 KiB | 2025-Mar-15 14:09 |
| perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3.1 KiB | 2025-May-01 07:16 |
| perl-moosex-relatedclassroles-0.004-r0.apk | 2.5 KiB | 2025-May-01 07:16 |
| perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5.2 KiB | 2025-Apr-21 17:33 |
| perl-moosex-object-pluggable-0.0014-r0.apk | 5.7 KiB | 2025-Apr-21 17:33 |
| perl-moosex-nonmoose-doc-0.27-r1.apk | 9.2 KiB | 2025-Jun-19 14:40 |
| perl-moosex-nonmoose-0.27-r1.apk | 7.9 KiB | 2025-Jun-19 14:40 |
| perl-moosex-methodattributes-doc-0.32-r0.apk | 21.8 KiB | 2025-Mar-19 18:48 |
| perl-moosex-methodattributes-0.32-r0.apk | 8.3 KiB | 2025-Mar-19 18:48 |
| perl-moosex-markasmethods-doc-0.15-r0.apk | 4.9 KiB | 2025-Jun-04 18:41 |
| perl-moosex-markasmethods-0.15-r0.apk | 4.8 KiB | 2025-Jun-04 18:41 |
| perl-moosex-getopt-doc-0.78-r0.apk | 24.5 KiB | 2025-Mar-16 07:38 |
| perl-moosex-getopt-0.78-r0.apk | 13.4 KiB | 2025-Mar-16 07:38 |
| perl-moosex-emulate-class-accessor-fast-doc-0.00..> | 5.1 KiB | 2025-Mar-16 07:38 |
| perl-moosex-emulate-class-accessor-fast-0.009032..> | 5.2 KiB | 2025-Mar-16 07:38 |
| perl-moosex-configfromfile-doc-0.14-r0.apk | 3.9 KiB | 2025-Mar-16 07:38 |
| perl-moosex-configfromfile-0.14-r0.apk | 3.8 KiB | 2025-Mar-16 07:38 |
| perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 33.2 KiB | 2025-Mar-19 18:48 |
| perl-mojolicious-plugin-openapi-5.11-r0.apk | 28.1 KiB | 2025-Mar-19 18:48 |
| perl-mojo-sqlite-doc-3.009-r0.apk | 19.1 KiB | 2024-Jan-12 15:02 |
| perl-mojo-sqlite-3.009-r0.apk | 15.7 KiB | 2024-Jan-12 15:02 |
| perl-mojo-redis-doc-3.29-r0.apk | 24.4 KiB | 2024-Jan-12 15:02 |
| perl-mojo-redis-3.29-r0.apk | 24.9 KiB | 2024-Jan-12 15:02 |
| perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.1 KiB | 2024-Jan-12 15:02 |
| perl-mojo-reactor-ioasync-1.002-r0.apk | 4.5 KiB | 2024-Jan-12 15:02 |
| perl-module-path-doc-0.19-r0.apk | 5.5 KiB | 2025-Jun-08 21:31 |
| perl-module-path-0.19-r0.apk | 4.5 KiB | 2025-Jun-08 21:31 |
| perl-module-generic-doc-1.1.3-r0.apk | 262.1 KiB | 2025-Oct-26 23:29 |
| perl-module-generic-1.1.3-r0.apk | 323.5 KiB | 2025-Oct-26 23:29 |
| perl-module-extract-version-doc-1.119-r0.apk | 3.2 KiB | 2025-Sep-26 04:02 |
| perl-module-extract-version-1.119-r0.apk | 3.0 KiB | 2025-Sep-26 04:02 |
| perl-module-cpants-analyse-doc-1.02-r0.apk | 28.0 KiB | 2025-Jul-16 12:26 |
| perl-module-cpants-analyse-1.02-r0.apk | 26.3 KiB | 2025-Jul-16 12:26 |
| perl-module-build-prereqs-fromcpanfile-doc-0.02-..> | 3.5 KiB | 2024-Jan-19 00:32 |
| perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.2 KiB | 2024-Jan-19 00:32 |
| perl-minion-doc-11.0-r0.apk | 50.4 KiB | 2025-Aug-28 04:28 |
| perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.5 KiB | 2024-Mar-03 07:17 |
| perl-minion-backend-sqlite-5.0.7-r0.apk | 9.9 KiB | 2024-Mar-03 07:17 |
| perl-minion-backend-redis-doc-0.003-r0.apk | 6.3 KiB | 2024-Mar-03 07:17 |
| perl-minion-backend-redis-0.003-r0.apk | 10.2 KiB | 2024-Mar-03 07:17 |
| perl-minion-backend-pg-11.0-r0.apk | 9.3 KiB | 2025-Aug-28 04:28 |
| perl-minion-11.0-r0.apk | 1.5 MiB | 2025-Aug-28 04:28 |
| perl-memoize-expirelru-doc-0.56-r0.apk | 3.6 KiB | 2025-Jun-08 21:31 |
| perl-memoize-expirelru-0.56-r0.apk | 6.0 KiB | 2025-Jun-08 21:31 |
| perl-mce-doc-1.902-r0.apk | 171.0 KiB | 2025-Sep-26 04:02 |
| perl-mce-1.902-r0.apk | 134.2 KiB | 2025-Sep-26 04:02 |
| perl-math-random-isaac-xs-doc-1.004-r9.apk | 3.6 KiB | 2025-Jul-01 13:33 |
| perl-math-random-isaac-xs-1.004-r9.apk | 7.4 KiB | 2025-Jul-01 13:33 |
| perl-math-random-doc-0.75-r0.apk | 11.1 KiB | 2025-Oct-01 05:50 |
| perl-math-random-0.75-r0.apk | 35.4 KiB | 2025-Oct-01 05:50 |
| perl-math-libm-doc-1.00-r15.apk | 2.9 KiB | 2025-Jul-01 13:33 |
| perl-math-libm-1.00-r15.apk | 9.8 KiB | 2025-Jul-01 13:33 |
| perl-math-int64-doc-0.57-r2.apk | 10.3 KiB | 2025-Jul-01 13:33 |
| perl-math-int64-0.57-r2.apk | 27.6 KiB | 2025-Jul-01 13:33 |
| perl-mastodon-client-doc-0.017-r0.apk | 32.7 KiB | 2024-Jan-13 12:00 |
| perl-mastodon-client-0.017-r0.apk | 21.8 KiB | 2024-Jan-13 12:00 |
| perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.4 KiB | 2023-Jul-06 17:21 |
| perl-lwp-useragent-cached-0.08-r1.apk | 6.1 KiB | 2023-Jul-06 17:21 |
| perl-log-message-simple-doc-0.10-r3.apk | 3.7 KiB | 2023-Jul-06 17:21 |
| perl-log-message-simple-0.10-r3.apk | 4.0 KiB | 2023-Jul-06 17:21 |
| perl-log-message-doc-0.08-r3.apk | 11.9 KiB | 2023-Jul-06 17:21 |
| perl-log-message-0.08-r3.apk | 10.4 KiB | 2023-Jul-06 17:21 |
| perl-log-fu-doc-0.31-r4.apk | 7.0 KiB | 2023-Jul-06 17:21 |
| perl-log-fu-0.31-r4.apk | 10.2 KiB | 2023-Jul-06 17:21 |
| perl-list-keywords-doc-0.11-r1.apk | 5.3 KiB | 2025-Jul-01 13:33 |
| perl-list-keywords-0.11-r1.apk | 14.0 KiB | 2025-Jul-01 13:33 |
| perl-list-binarysearch-xs-doc-0.09-r2.apk | 7.9 KiB | 2025-Jul-01 13:33 |
| perl-list-binarysearch-xs-0.09-r2.apk | 11.8 KiB | 2025-Jul-01 13:33 |
| perl-list-binarysearch-doc-0.25-r0.apk | 11.3 KiB | 2024-Jan-17 16:50 |
| perl-list-binarysearch-0.25-r0.apk | 9.7 KiB | 2024-Jan-17 16:50 |
| perl-linux-pid-doc-0.04-r15.apk | 2.8 KiB | 2025-Jul-01 13:33 |
| perl-linux-pid-0.04-r15.apk | 4.3 KiB | 2025-Jul-01 13:33 |
| perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 2.8 KiB | 2025-Jun-08 21:31 |
| perl-lingua-stem-snowball-da-1.01-r0.apk | 4.1 KiB | 2025-Jun-08 21:31 |
| perl-lingua-stem-ru-doc-0.04-r0.apk | 3.5 KiB | 2025-Jun-06 14:58 |
| perl-lingua-stem-ru-0.04-r0.apk | 3.9 KiB | 2025-Jun-06 14:58 |
| perl-lingua-stem-it-doc-0.02-r0.apk | 3.3 KiB | 2025-Jun-06 14:58 |
| perl-lingua-stem-it-0.02-r0.apk | 5.0 KiB | 2025-Jun-06 14:58 |
| perl-lingua-stem-fr-doc-0.02-r0.apk | 3.7 KiB | 2025-Jun-06 14:58 |
| perl-lingua-stem-fr-0.02-r0.apk | 5.9 KiB | 2025-Jun-06 14:58 |
| perl-lingua-stem-doc-2.31-r0.apk | 33.3 KiB | 2025-Jun-08 21:31 |
| perl-lingua-stem-2.31-r0.apk | 12.1 KiB | 2025-Jun-08 21:31 |
| perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4.0 KiB | 2025-Jun-07 02:00 |
| perl-lingua-pt-stemmer-0.02-r0.apk | 5.2 KiB | 2025-Jun-07 02:00 |
| perl-lingua-en-words2nums-doc-0.18-r0.apk | 3.3 KiB | 2025-Jun-07 14:56 |
| perl-lingua-en-words2nums-0.18-r0.apk | 4.3 KiB | 2025-Jun-07 14:56 |
| perl-lingua-en-tagger-doc-0.31-r0.apk | 4.2 KiB | 2025-Jun-08 21:31 |
| perl-lingua-en-tagger-0.31-r0.apk | 545.5 KiB | 2025-Jun-08 21:31 |
| perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3.2 KiB | 2025-Jun-08 21:31 |
| perl-lingua-en-number-isordinal-0.05-r0.apk | 2.8 KiB | 2025-Jun-08 21:31 |
| perl-lingua-en-inflect-phrase-doc-0.20-r0.apk | 3.6 KiB | 2025-Jun-09 12:09 |
| perl-lingua-en-inflect-phrase-0.20-r0.apk | 5.1 KiB | 2025-Jun-09 12:09 |
| perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3.3 KiB | 2025-Jun-08 21:31 |
| perl-lingua-en-inflect-number-1.12-r0.apk | 2.9 KiB | 2025-Jun-08 21:31 |
| perl-lingua-en-findnumber-doc-1.32-r0.apk | 3.2 KiB | 2025-Jun-08 21:31 |
| perl-lingua-en-findnumber-1.32-r0.apk | 3.0 KiB | 2025-Jun-08 21:31 |
| perl-libintl-perl-doc-1.35-r0.apk | 571.2 KiB | 2025-Jan-16 13:38 |
| perl-libintl-perl-1.35-r0.apk | 304.7 KiB | 2025-Jan-16 13:38 |
| perl-libapreq2-doc-2.17-r3.apk | 37.0 KiB | 2025-Jul-01 13:33 |
| perl-libapreq2-dev-2.17-r3.apk | 83.0 KiB | 2025-Jul-01 13:33 |
| perl-libapreq2-2.17-r3.apk | 96.7 KiB | 2025-Jul-01 13:33 |
| perl-lib-abs-doc-0.95-r0.apk | 3.7 KiB | 2024-Aug-29 10:07 |
| perl-lib-abs-0.95-r0.apk | 3.6 KiB | 2024-Aug-29 10:07 |
| perl-lexical-persistence-doc-1.023-r0.apk | 7.3 KiB | 2025-Apr-26 08:14 |
| perl-lexical-persistence-1.023-r0.apk | 7.3 KiB | 2025-Apr-26 08:14 |
| perl-json-validator-doc-5.15-r0.apk | 33.6 KiB | 2025-Mar-19 18:48 |
| perl-json-validator-5.15-r0.apk | 57.9 KiB | 2025-Mar-19 18:48 |
| perl-json-maybeutf8-doc-2.000-r0.apk | 3.3 KiB | 2024-Jan-17 16:50 |
| perl-json-maybeutf8-2.000-r0.apk | 2.9 KiB | 2024-Jan-17 16:50 |
| perl-io-sessiondata-1.03-r3.apk | 5.5 KiB | 2023-Jul-06 17:21 |
| perl-io-lambda-doc-1.34-r0.apk | 67.7 KiB | 2024-Oct-09 03:16 |
| perl-io-lambda-1.34-r0.apk | 75.2 KiB | 2024-Oct-09 03:16 |
| perl-io-interactive-doc-1.027-r0.apk | 4.9 KiB | 2025-Sep-01 13:19 |
| perl-io-interactive-1.027-r0.apk | 5.1 KiB | 2025-Sep-01 13:19 |
| perl-io-handle-util-doc-0.02-r0.apk | 9.6 KiB | 2025-Jun-11 16:35 |
| perl-io-handle-util-0.02-r0.apk | 10.4 KiB | 2025-Jun-11 16:35 |
| perl-indirect-doc-0.39-r2.apk | 6.1 KiB | 2025-Jul-01 13:33 |
| perl-indirect-0.39-r2.apk | 15.1 KiB | 2025-Jul-01 13:33 |
| perl-imager-doc-1.028-r1.apk | 286.2 KiB | 2025-Jul-01 13:33 |
| perl-imager-1.028-r1.apk | 515.8 KiB | 2025-Jul-01 13:33 |
| perl-i18n-langinfo-wide-doc-9-r4.apk | 3.8 KiB | 2023-Jul-06 17:21 |
| perl-i18n-langinfo-wide-9-r4.apk | 4.0 KiB | 2023-Jul-06 17:21 |
| perl-http-xsheaders-doc-0.400005-r2.apk | 6.1 KiB | 2025-Jul-01 13:33 |
| perl-http-xsheaders-0.400005-r2.apk | 19.9 KiB | 2025-Jul-01 13:33 |
| perl-http-thin-doc-0.006-r0.apk | 3.2 KiB | 2024-Jan-13 12:00 |
| perl-http-thin-0.006-r0.apk | 2.8 KiB | 2024-Jan-13 12:00 |
| perl-http-headers-actionpack-doc-0.09-r0.apk | 40.0 KiB | 2025-Jun-13 18:18 |
| perl-http-headers-actionpack-0.09-r0.apk | 17.7 KiB | 2025-Jun-13 18:18 |
| perl-html-treebuilder-xpath-doc-0.14-r0.apk | 4.0 KiB | 2025-Jul-16 12:26 |
| perl-html-treebuilder-xpath-0.14-r0.apk | 7.5 KiB | 2025-Jul-16 12:26 |
| perl-html-tableextract-doc-2.15-r4.apk | 9.7 KiB | 2023-Jul-06 17:21 |
| perl-html-tableextract-2.15-r4.apk | 17.4 KiB | 2023-Jul-06 17:21 |
| perl-html-selector-xpath-doc-0.28-r0.apk | 3.8 KiB | 2024-Mar-03 07:17 |
| perl-html-selector-xpath-0.28-r0.apk | 6.0 KiB | 2024-Mar-03 07:17 |
| perl-html-query-doc-0.09-r0.apk | 9.8 KiB | 2025-Jun-16 13:04 |
| perl-html-query-0.09-r0.apk | 13.6 KiB | 2025-Jun-16 13:04 |
| perl-html-object-doc-0.6.0-r0.apk | 473.3 KiB | 2025-Oct-16 16:32 |
| perl-html-object-0.6.0-r0.apk | 342.6 KiB | 2025-Oct-16 16:32 |
| perl-html-gumbo-doc-0.18-r2.apk | 5.2 KiB | 2025-Jul-01 13:33 |
| perl-html-gumbo-0.18-r2.apk | 13.9 KiB | 2025-Jul-01 13:33 |
| perl-html-formhandler-doc-0.40068-r0.apk | 323.0 KiB | 2025-May-01 07:16 |
| perl-html-formhandler-0.40068-r0.apk | 135.2 KiB | 2025-May-01 07:16 |
| perl-html-formatexternal-doc-26-r0.apk | 22.7 KiB | 2025-Jun-07 14:55 |
| perl-html-formatexternal-26-r0.apk | 16.8 KiB | 2025-Jun-07 14:55 |
| perl-hash-ordered-doc-0.014-r0.apk | 19.0 KiB | 2024-Jan-19 00:32 |
| perl-hash-ordered-0.014-r0.apk | 9.5 KiB | 2024-Jan-19 00:32 |
| perl-hash-merge-extra-doc-0.06-r0.apk | 3.1 KiB | 2025-Jun-20 15:20 |
| perl-hash-merge-extra-0.06-r0.apk | 2.9 KiB | 2025-Jun-20 15:20 |
| perl-guard-doc-1.023-r10.apk | 5.1 KiB | 2025-Jul-01 13:33 |
| perl-guard-1.023-r10.apk | 8.0 KiB | 2025-Jul-01 13:33 |
| perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.0 KiB | 2023-Jul-06 17:21 |
| perl-gtk2-ex-widgetbits-48-r3.apk | 65.5 KiB | 2023-Jul-06 17:21 |
| perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.0 KiB | 2023-Jul-06 17:21 |
| perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.5 KiB | 2023-Jul-06 17:21 |
| perl-gtk2-doc-1.24993-r7.apk | 678.3 KiB | 2025-Jul-01 13:33 |
| perl-gtk2-1.24993-r7.apk | 858.6 KiB | 2025-Jul-01 13:33 |
| perl-graphql-client-doc-0.605-r0.apk | 13.7 KiB | 2024-Jan-18 23:56 |
| perl-graphql-client-cli-0.605-r0.apk | 7.6 KiB | 2024-Jan-18 23:56 |
| perl-graphql-client-0.605-r0.apk | 6.9 KiB | 2024-Jan-18 23:56 |
| perl-glib-ex-objectbits-doc-17-r0.apk | 22.1 KiB | 2024-May-20 08:14 |
| perl-glib-ex-objectbits-17-r0.apk | 14.8 KiB | 2024-May-20 08:14 |
| perl-git-version-compare-doc-1.005-r0.apk | 4.6 KiB | 2024-Feb-05 16:10 |
| perl-git-version-compare-1.005-r0.apk | 5.1 KiB | 2024-Feb-05 16:10 |
| perl-git-repository-doc-1.325-r0.apk | 31.2 KiB | 2024-Feb-05 16:10 |
| perl-git-repository-1.325-r0.apk | 16.1 KiB | 2024-Feb-05 16:10 |
| perl-git-raw-doc-0.90-r4.apk | 119.0 KiB | 2025-Jul-01 13:33 |
| perl-git-raw-0.90-r4.apk | 170.1 KiB | 2025-Jul-01 13:33 |
| perl-getopt-tabular-doc-0.3-r4.apk | 16.5 KiB | 2023-Jul-06 17:21 |
| perl-getopt-tabular-0.3-r4.apk | 23.0 KiB | 2023-Jul-06 17:21 |
| perl-getopt-long-descriptive-doc-0.116-r0.apk | 10.7 KiB | 2025-Jan-01 02:42 |
| perl-getopt-long-descriptive-0.116-r0.apk | 14.4 KiB | 2025-Jan-01 02:42 |
| perl-gearman-doc-2.004.015-r3.apk | 19.5 KiB | 2024-Jan-04 01:15 |
| perl-gearman-2.004.015-r3.apk | 27.2 KiB | 2024-Jan-04 01:15 |
| perl-future-queue-doc-0.52-r0.apk | 4.0 KiB | 2024-Jan-17 16:50 |
| perl-future-queue-0.52-r0.apk | 3.8 KiB | 2024-Jan-17 16:50 |
| perl-future-q-doc-0.120-r0.apk | 8.9 KiB | 2024-Jan-19 00:32 |
| perl-future-q-0.120-r0.apk | 9.3 KiB | 2024-Jan-19 00:32 |
| perl-future-http-doc-0.17-r0.apk | 15.4 KiB | 2024-Apr-30 01:06 |
| perl-future-http-0.17-r0.apk | 9.0 KiB | 2024-Apr-30 01:06 |
| perl-future-asyncawait-hooks-doc-0.02-r1.apk | 3.1 KiB | 2025-Jul-01 13:33 |
| perl-future-asyncawait-hooks-0.02-r1.apk | 7.7 KiB | 2025-Jul-01 13:33 |
| perl-full-doc-1.004-r0.apk | 10.0 KiB | 2024-Oct-15 10:04 |
| perl-full-1.004-r0.apk | 6.9 KiB | 2024-Oct-15 10:04 |
| perl-freezethaw-doc-0.5001-r3.apk | 5.4 KiB | 2025-Oct-18 10:33 |
| perl-freezethaw-0.5001-r3.apk | 9.6 KiB | 2025-Oct-18 10:33 |
| perl-flowd-doc-0.9.1-r11.apk | 3.0 KiB | 2025-Jul-01 13:33 |
| perl-flowd-0.9.1-r11.apk | 22.3 KiB | 2025-Jul-01 13:33 |
| perl-finance-quote-doc-1.68-r0.apk | 89.6 KiB | 2025-Dec-24 10:53 |
| perl-finance-quote-1.68-r0.apk | 113.6 KiB | 2025-Dec-24 10:53 |
| perl-file-treecreate-doc-0.0.1-r0.apk | 4.1 KiB | 2025-Jul-16 12:26 |
| perl-file-treecreate-0.0.1-r0.apk | 3.8 KiB | 2025-Jul-16 12:26 |
| perl-file-rename-doc-2.02-r0.apk | 11.8 KiB | 2024-Jan-01 12:23 |
| perl-file-rename-2.02-r0.apk | 7.3 KiB | 2024-Jan-01 12:23 |
| perl-file-mmagic-xs-doc-0.09008-r5.apk | 4.0 KiB | 2025-Jul-01 13:33 |
| perl-file-mmagic-xs-0.09008-r5.apk | 28.8 KiB | 2025-Jul-01 13:33 |
| perl-file-find-object-doc-0.3.9-r0.apk | 12.9 KiB | 2025-Jul-16 12:26 |
| perl-file-find-object-0.3.9-r0.apk | 8.9 KiB | 2025-Jul-16 12:26 |
| perl-file-changenotify-doc-0.31-r0.apk | 13.7 KiB | 2025-Mar-21 11:54 |
| perl-file-changenotify-0.31-r0.apk | 11.8 KiB | 2025-Mar-21 11:54 |
| perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 4.9 KiB | 2024-Feb-03 09:56 |
| perl-ffi-platypus-type-enum-0.06-r0.apk | 4.9 KiB | 2024-Feb-03 09:56 |
| perl-ffi-platypus-doc-2.10-r1.apk | 147.7 KiB | 2025-Jul-01 13:33 |
| perl-ffi-platypus-2.10-r1.apk | 178.4 KiB | 2025-Jul-01 13:33 |
| perl-ffi-c-doc-0.15-r0.apk | 28.3 KiB | 2024-Feb-03 09:56 |
| perl-ffi-c-0.15-r0.apk | 19.6 KiB | 2024-Feb-03 09:56 |
| perl-feed-find-doc-0.13-r0.apk | 3.5 KiB | 2024-Jan-14 15:57 |
| perl-feed-find-0.13-r0.apk | 3.6 KiB | 2024-Jan-14 15:57 |
| perl-extutils-xsbuilder-doc-0.28-r5.apk | 20.9 KiB | 2023-Jul-06 17:21 |
| perl-extutils-xsbuilder-0.28-r5.apk | 42.8 KiB | 2023-Jul-06 17:21 |
| perl-extutils-makemaker-7.76-r0.apk | 174.0 KiB | 2025-Aug-16 15:18 |
| perl-expect-simple-doc-0.04-r0.apk | 4.6 KiB | 2025-Apr-20 08:21 |
| perl-expect-simple-0.04-r0.apk | 5.2 KiB | 2025-Apr-20 08:21 |
| perl-expect-doc-1.38-r0.apk | 20.0 KiB | 2025-Apr-19 19:21 |
| perl-expect-1.38-r0.apk | 32.2 KiB | 2025-Apr-19 19:21 |
| perl-ev-hiredis-doc-0.07-r3.apk | 4.0 KiB | 2025-Jul-01 13:33 |
| perl-ev-hiredis-0.07-r3.apk | 12.4 KiB | 2025-Jul-01 13:33 |
| perl-encode-detect-doc-1.01-r1.apk | 4.6 KiB | 2025-Jul-01 13:33 |
| perl-encode-detect-1.01-r1.apk | 71.5 KiB | 2025-Jul-01 13:33 |
| perl-email-sender-doc-2.601-r0.apk | 41.8 KiB | 2025-Apr-07 08:33 |
| perl-email-sender-2.601-r0.apk | 24.4 KiB | 2025-Apr-07 08:33 |
| perl-email-reply-doc-1.204-r5.apk | 4.5 KiB | 2023-Jul-06 17:21 |
| perl-email-reply-1.204-r5.apk | 5.9 KiB | 2023-Jul-06 17:21 |
| perl-email-mime-attachment-stripper-doc-1.317-r5..> | 3.6 KiB | 2023-Jul-06 17:21 |
| perl-email-mime-attachment-stripper-1.317-r5.apk | 3.7 KiB | 2023-Jul-06 17:21 |
| perl-email-abstract-doc-3.010-r0.apk | 12.6 KiB | 2023-Sep-03 18:14 |
| perl-email-abstract-3.010-r0.apk | 7.4 KiB | 2023-Sep-03 18:14 |
| perl-dns-unbound-mojo-0.29-r2.apk | 2.4 KiB | 2025-Jul-01 13:33 |
| perl-dns-unbound-ioasync-0.29-r2.apk | 2.2 KiB | 2025-Jul-01 13:33 |
| perl-dns-unbound-doc-0.29-r2.apk | 15.1 KiB | 2025-Jul-01 13:33 |
| perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk | 1.7 KiB | 2025-Jul-01 13:33 |
| perl-dns-unbound-anyevent-0.29-r2.apk | 2.1 KiB | 2025-Jul-01 13:33 |
| perl-dns-unbound-0.29-r2.apk | 22.8 KiB | 2025-Jul-01 13:33 |
| perl-digest-crc-doc-0.24-r2.apk | 3.0 KiB | 2025-Jul-01 13:33 |
| perl-digest-crc-0.24-r2.apk | 8.8 KiB | 2025-Jul-01 13:33 |
| perl-digest-bcrypt-doc-1.212-r1.apk | 4.9 KiB | 2023-Jul-06 17:21 |
| perl-digest-bcrypt-1.212-r1.apk | 5.3 KiB | 2023-Jul-06 17:21 |
| perl-devel-trace-doc-0.12-r0.apk | 3.2 KiB | 2025-Jul-07 05:43 |
| perl-devel-trace-0.12-r0.apk | 3.1 KiB | 2025-Jul-07 05:43 |
| perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3.2 KiB | 2025-Apr-26 10:23 |
| perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3.4 KiB | 2025-Apr-26 10:23 |
| perl-devel-repl-doc-1.003029-r0.apk | 59.9 KiB | 2025-Apr-24 15:00 |
| perl-devel-repl-1.003029-r0.apk | 28.1 KiB | 2025-Apr-24 15:00 |
| perl-devel-refcount-doc-0.10-r2.apk | 4.0 KiB | 2025-Jul-01 13:33 |
| perl-devel-refcount-0.10-r2.apk | 5.7 KiB | 2025-Jul-01 13:33 |
| perl-devel-nytprof-doc-6.14-r1.apk | 50.3 KiB | 2025-Jul-01 13:33 |
| perl-devel-nytprof-6.14-r1.apk | 387.4 KiB | 2025-Jul-01 13:33 |
| perl-devel-leak-doc-0.03-r14.apk | 3.1 KiB | 2025-Jul-01 13:33 |
| perl-devel-leak-0.03-r14.apk | 6.5 KiB | 2025-Jul-01 13:33 |
| perl-devel-confess-doc-0.009004-r0.apk | 6.4 KiB | 2024-Mar-03 07:17 |
| perl-devel-confess-0.009004-r0.apk | 11.2 KiB | 2024-Mar-03 07:17 |
| perl-dbix-lite-doc-0.36-r0.apk | 17.3 KiB | 2025-Jan-01 02:42 |
| perl-dbix-lite-0.36-r0.apk | 17.8 KiB | 2025-Jan-01 02:42 |
| perl-dbix-introspector-doc-0.001005-r4.apk | 8.2 KiB | 2023-Jul-06 17:21 |
| perl-dbix-introspector-0.001005-r4.apk | 7.8 KiB | 2023-Jul-06 17:21 |
| perl-dbix-datasource-doc-0.02-r5.apk | 7.3 KiB | 2023-Jul-06 17:21 |
| perl-dbix-datasource-0.02-r5.apk | 4.0 KiB | 2023-Jul-06 17:21 |
| perl-dbix-connector-doc-0.60-r0.apk | 22.0 KiB | 2025-Jan-01 02:42 |
| perl-dbix-connector-0.60-r0.apk | 14.5 KiB | 2025-Jan-01 02:42 |
| perl-dbix-class-schema-loader-doc-0.07053-r0.apk | 77.1 KiB | 2025-Jun-09 16:30 |
| perl-dbix-class-schema-loader-0.07053-r0.apk | 97.1 KiB | 2025-Jun-09 16:30 |
| perl-dbix-class-helpers-doc-2.037000-r0.apk | 121.1 KiB | 2024-Nov-17 05:00 |
| perl-dbix-class-helpers-2.037000-r0.apk | 47.5 KiB | 2024-Nov-17 05:00 |
| perl-dbix-class-doc-0.082844-r0.apk | 420.2 KiB | 2025-Jan-16 17:34 |
| perl-dbix-class-cursor-cached-doc-1.001004-r0.apk | 2.8 KiB | 2025-Jun-09 16:30 |
| perl-dbix-class-cursor-cached-1.001004-r0.apk | 3.0 KiB | 2025-Jun-09 16:30 |
| perl-dbix-class-candy-doc-0.005004-r0.apk | 9.2 KiB | 2024-Oct-30 06:03 |
| perl-dbix-class-candy-0.005004-r0.apk | 7.6 KiB | 2024-Oct-30 06:03 |
| perl-dbix-class-0.082844-r0.apk | 355.2 KiB | 2025-Jan-16 17:34 |
| perl-dbicx-sugar-doc-0.0200-r5.apk | 5.1 KiB | 2023-Jul-06 17:21 |
| perl-dbicx-sugar-0.0200-r5.apk | 5.6 KiB | 2023-Jul-06 17:21 |
| perl-datetime-timezone-catalog-extend-doc-0.3.3-..> | 14.8 KiB | 2024-Sep-05 16:45 |
| perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11.5 KiB | 2024-Sep-05 16:45 |
| perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.4 KiB | 2024-Mar-03 07:17 |
| perl-datetime-timezone-alias-0.06-r0.apk | 2.3 KiB | 2024-Mar-03 07:17 |
| perl-datetime-set-doc-0.3900-r0.apk | 17.6 KiB | 2025-Jul-21 09:10 |
| perl-datetime-set-0.3900-r0.apk | 19.7 KiB | 2025-Jul-21 09:10 |
| perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 3.9 KiB | 2025-Jan-05 15:08 |
| perl-datetime-format-rfc3339-1.10.0-r0.apk | 4.1 KiB | 2025-Jan-05 15:08 |
| perl-datetime-format-flexible-doc-0.37-r0.apk | 11.9 KiB | 2025-Jan-01 14:19 |
| perl-datetime-format-flexible-0.37-r0.apk | 17.8 KiB | 2025-Jan-01 14:19 |
| perl-datetime-format-atom-doc-1.8.0-r0.apk | 3.5 KiB | 2025-Jan-05 15:08 |
| perl-datetime-format-atom-1.8.0-r0.apk | 2.9 KiB | 2025-Jan-05 15:08 |
| perl-datetime-astro-doc-1.04-r0.apk | 5.8 KiB | 2025-Jul-21 09:10 |
| perl-datetime-astro-1.04-r0.apk | 35.6 KiB | 2025-Jul-21 09:10 |
| perl-date-range-doc-1.41-r0.apk | 3.8 KiB | 2025-Jul-08 16:56 |
| perl-date-range-1.41-r0.apk | 3.5 KiB | 2025-Jul-08 16:56 |
| perl-database-async-engine-postgresql-doc-1.005-..> | 9.1 KiB | 2024-Sep-25 17:32 |
| perl-database-async-engine-postgresql-1.005-r0.apk | 13.7 KiB | 2024-Sep-25 17:32 |
| perl-database-async-doc-0.019-r0.apk | 28.8 KiB | 2024-Jan-17 16:50 |
| perl-database-async-0.019-r0.apk | 22.6 KiB | 2024-Jan-17 16:50 |
| perl-data-visitor-doc-0.32-r0.apk | 8.1 KiB | 2025-Mar-21 02:23 |
| perl-data-visitor-0.32-r0.apk | 9.5 KiB | 2025-Mar-21 02:23 |
| perl-data-validate-ip-doc-0.31-r1.apk | 5.6 KiB | 2023-Jul-06 17:21 |
| perl-data-validate-ip-0.31-r1.apk | 8.6 KiB | 2023-Jul-06 17:21 |
| perl-data-validate-domain-doc-0.15-r0.apk | 5.2 KiB | 2024-Jan-14 12:53 |
| perl-data-validate-domain-0.15-r0.apk | 5.6 KiB | 2024-Jan-14 12:53 |
| perl-data-section-doc-0.200008-r0.apk | 5.4 KiB | 2025-Jul-07 17:12 |
| perl-data-section-0.200008-r0.apk | 6.2 KiB | 2025-Jul-07 17:12 |
| perl-data-dump-streamer-doc-2.42-r1.apk | 17.0 KiB | 2025-Jul-01 13:33 |
| perl-data-dump-streamer-2.42-r1.apk | 49.8 KiB | 2025-Jul-01 13:33 |
| perl-data-clone-doc-0.006-r1.apk | 4.3 KiB | 2025-Jul-01 13:33 |
| perl-data-clone-0.006-r1.apk | 9.1 KiB | 2025-Jul-01 13:33 |
| perl-data-checks-doc-0.11-r0.apk | 8.2 KiB | 2025-Nov-26 09:16 |
| perl-data-checks-0.11-r0.apk | 22.7 KiB | 2025-Nov-26 09:16 |
| perl-data-binary-doc-0.01-r0.apk | 2.8 KiB | 2025-Jul-16 12:26 |
| perl-data-binary-0.01-r0.apk | 2.5 KiB | 2025-Jul-16 12:26 |
| perl-dancer2-doc-1.1.2-r0.apk | 300.5 KiB | 2024-Dec-28 04:59 |
| perl-dancer2-1.1.2-r0.apk | 162.8 KiB | 2024-Dec-28 04:59 |
| perl-dancer-session-cookie-doc-0.30-r2.apk | 3.9 KiB | 2023-Jul-06 17:21 |
| perl-dancer-session-cookie-0.30-r2.apk | 5.2 KiB | 2023-Jul-06 17:21 |
| perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.2 KiB | 2023-Jul-06 17:21 |
| perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.4 KiB | 2023-Jul-06 17:21 |
| perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5.1 KiB | 2023-Jul-06 17:21 |
| perl-dancer-plugin-dbic-0.2104-r5.apk | 4.7 KiB | 2023-Jul-06 17:21 |
| perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.6 KiB | 2023-Jul-06 17:21 |
| perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.1 KiB | 2023-Jul-06 17:21 |
| perl-daemon-control-doc-0.001010-r2.apk | 8.0 KiB | 2023-Jul-06 17:21 |
| perl-daemon-control-0.001010-r2.apk | 12.1 KiB | 2023-Jul-06 17:21 |
| perl-css-object-doc-0.2.0-r0.apk | 32.3 KiB | 2024-Sep-05 16:45 |
| perl-css-object-0.2.0-r0.apk | 22.5 KiB | 2024-Sep-05 16:45 |
| perl-css-inliner-doc-4027-r0.apk | 9.2 KiB | 2025-Jun-16 13:04 |
| perl-css-inliner-4027-r0.apk | 15.6 KiB | 2025-Jun-16 13:04 |
| perl-crypt-saltedhash-doc-0.09-r5.apk | 6.1 KiB | 2023-Jul-06 17:21 |
| perl-crypt-saltedhash-0.09-r5.apk | 6.7 KiB | 2023-Jul-06 17:21 |
| perl-crypt-random-seed-doc-0.03-r0.apk | 8.5 KiB | 2024-Jan-17 16:50 |
| perl-crypt-random-seed-0.03-r0.apk | 11.0 KiB | 2024-Jan-17 16:50 |
| perl-crypt-blowfish-doc-2.14-r1.apk | 3.8 KiB | 2025-Jul-01 13:33 |
| perl-crypt-blowfish-2.14-r1.apk | 12.0 KiB | 2025-Jul-01 13:33 |
| perl-cpansa-db-doc-20250807.001-r0.apk | 5.3 KiB | 2025-Sep-01 13:19 |
| perl-cpansa-db-20250807.001-r0.apk | 1.3 MiB | 2025-Sep-01 13:19 |
| perl-cpan-changes-doc-0.500005-r0.apk | 18.1 KiB | 2025-Aug-16 15:18 |
| perl-cpan-changes-0.500005-r0.apk | 13.1 KiB | 2025-Aug-16 15:18 |
| perl-cpan-audit-doc-20250829.001-r0.apk | 12.1 KiB | 2025-Sep-26 04:02 |
| perl-cpan-audit-20250829.001-r0.apk | 13.3 KiB | 2025-Sep-26 04:02 |
| perl-context-preserve-doc-0.03-r4.apk | 4.0 KiB | 2023-Jul-06 17:21 |
| perl-context-preserve-0.03-r4.apk | 3.6 KiB | 2023-Jul-06 17:21 |
| perl-constant-generate-doc-0.17-r5.apk | 6.8 KiB | 2023-Jul-06 17:21 |
| perl-constant-generate-0.17-r5.apk | 8.5 KiB | 2023-Jul-06 17:21 |
| perl-constant-defer-doc-6-r5.apk | 6.7 KiB | 2023-Jul-06 17:21 |
| perl-constant-defer-6-r5.apk | 7.1 KiB | 2023-Jul-06 17:21 |
| perl-conf-libconfig-doc-1.0.3-r2.apk | 5.2 KiB | 2025-Jul-01 13:33 |
| perl-conf-libconfig-1.0.3-r2.apk | 24.3 KiB | 2025-Jul-01 13:33 |
| perl-color-rgb-util-doc-0.609-r0.apk | 7.1 KiB | 2025-Mar-19 18:48 |
| perl-color-rgb-util-0.609-r0.apk | 9.2 KiB | 2025-Mar-19 18:48 |
| perl-color-ansi-util-doc-0.165-r0.apk | 4.9 KiB | 2024-Apr-30 01:06 |
| perl-color-ansi-util-0.165-r0.apk | 7.0 KiB | 2024-Apr-30 01:06 |
| perl-clipboard-doc-0.32-r1.apk | 26.6 KiB | 2025-Oct-15 12:16 |
| perl-clipboard-0.32-r1.apk | 10.2 KiB | 2025-Oct-15 12:16 |
| perl-cli-osprey-doc-0.08-r0.apk | 11.8 KiB | 2024-Dec-28 04:59 |
| perl-cli-osprey-0.08-r0.apk | 12.5 KiB | 2024-Dec-28 04:59 |
| perl-class-unload-doc-0.11-r0.apk | 2.8 KiB | 2025-Jun-04 18:41 |
| perl-class-unload-0.11-r0.apk | 2.3 KiB | 2025-Jun-04 18:41 |
| perl-class-c3-doc-0.35-r1.apk | 9.0 KiB | 2023-Jul-06 17:21 |
| perl-class-c3-componentised-doc-1.001002-r2.apk | 5.1 KiB | 2023-Jul-06 17:21 |
| perl-class-c3-componentised-1.001002-r2.apk | 5.3 KiB | 2023-Jul-06 17:21 |
| perl-class-c3-adopt-next-doc-0.14-r0.apk | 4.4 KiB | 2025-Mar-14 22:16 |
| perl-class-c3-adopt-next-0.14-r0.apk | 4.8 KiB | 2025-Mar-14 22:16 |
| perl-class-c3-0.35-r1.apk | 9.2 KiB | 2023-Jul-06 17:21 |
| perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.2 KiB | 2023-Jul-06 17:21 |
| perl-class-accessor-grouped-0.10014-r2.apk | 11.8 KiB | 2023-Jul-06 17:21 |
| perl-check-unitcheck-doc-0.13-r2.apk | 3.3 KiB | 2025-Jul-01 13:33 |
| perl-check-unitcheck-0.13-r2.apk | 5.5 KiB | 2025-Jul-01 13:33 |
| perl-cgi-struct-doc-1.21-r0.apk | 6.5 KiB | 2025-Mar-14 22:16 |
| perl-cgi-struct-1.21-r0.apk | 7.6 KiB | 2025-Mar-14 22:16 |
| perl-cgi-simple-doc-1.282-r0.apk | 42.5 KiB | 2025-Aug-30 04:27 |
| perl-cgi-simple-1.282-r0.apk | 55.4 KiB | 2025-Aug-30 04:27 |
| perl-cgi-expand-doc-2.05-r4.apk | 5.9 KiB | 2023-Jul-06 17:21 |
| perl-cgi-expand-2.05-r4.apk | 6.6 KiB | 2023-Jul-06 17:21 |
| perl-catalystx-simplelogin-doc-0.21-r0.apk | 23.9 KiB | 2025-May-07 10:21 |
| perl-catalystx-simplelogin-0.21-r0.apk | 10.4 KiB | 2025-May-07 10:21 |
| perl-catalystx-repl-doc-0.04-r0.apk | 3.4 KiB | 2025-Apr-27 15:07 |
| perl-catalystx-repl-0.04-r0.apk | 3.0 KiB | 2025-Apr-27 15:07 |
| perl-catalystx-profile-doc-0.02-r0.apk | 4.3 KiB | 2025-Jun-15 05:41 |
| perl-catalystx-profile-0.02-r0.apk | 2.8 KiB | 2025-Jun-15 05:41 |
| perl-catalystx-leakchecker-doc-0.06-r0.apk | 3.3 KiB | 2025-Jun-15 05:41 |
| perl-catalystx-leakchecker-0.06-r0.apk | 3.1 KiB | 2025-Jun-15 05:41 |
| perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3.3 KiB | 2025-May-01 07:16 |
| perl-catalystx-injectcomponent-0.025-r0.apk | 3.2 KiB | 2025-May-01 07:16 |
| perl-catalystx-component-traits-doc-0.19-r0.apk | 3.7 KiB | 2025-May-07 10:21 |
| perl-catalystx-component-traits-0.19-r0.apk | 4.1 KiB | 2025-May-07 10:21 |
| perl-catalyst-view-tt-doc-0.46-r0.apk | 12.4 KiB | 2025-Apr-15 14:08 |
| perl-catalyst-view-tt-0.46-r0.apk | 13.4 KiB | 2025-Apr-15 14:08 |
| perl-catalyst-view-email-doc-0.36-r0.apk | 10.5 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-view-email-0.36-r0.apk | 8.8 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-runtime-doc-5.90132-r0.apk | 216.1 KiB | 2025-Mar-19 18:48 |
| perl-catalyst-runtime-5.90132-r0.apk | 149.4 KiB | 2025-Mar-19 18:48 |
| perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7.2 KiB | 2025-Mar-21 11:54 |
| perl-catalyst-plugin-static-simple-0.37-r0.apk | 8.4 KiB | 2025-Mar-21 11:54 |
| perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk | 3.6 KiB | 2025-Jun-14 02:47 |
| perl-catalyst-plugin-stacktrace-0.12-r0.apk | 4.4 KiB | 2025-Jun-14 02:47 |
| perl-catalyst-plugin-session-store-file-doc-0.18..> | 3.8 KiB | 2025-Jun-14 02:47 |
| perl-catalyst-plugin-session-store-file-0.18-r0...> | 3.4 KiB | 2025-Jun-14 02:47 |
| perl-catalyst-plugin-session-store-delegate-doc-..> | 4.3 KiB | 2025-Jun-13 18:19 |
| perl-catalyst-plugin-session-store-delegate-0.06..> | 4.2 KiB | 2025-Jun-13 18:19 |
| perl-catalyst-plugin-session-store-dbic-doc-0.14..> | 6.2 KiB | 2025-Jun-13 18:19 |
| perl-catalyst-plugin-session-store-dbic-0.14-r0...> | 5.6 KiB | 2025-Jun-13 18:19 |
| perl-catalyst-plugin-session-state-cookie-doc-0...> | 4.6 KiB | 2025-Apr-20 08:21 |
| perl-catalyst-plugin-session-state-cookie-0.18-r..> | 4.6 KiB | 2025-Apr-20 08:21 |
| perl-catalyst-plugin-session-doc-0.43-r0.apk | 24.9 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-plugin-session-0.43-r0.apk | 14.2 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13.0 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-plugin-i18n-0.10-r0.apk | 3.9 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10.1 KiB | 2025-Mar-21 11:54 |
| perl-catalyst-plugin-configloader-0.35-r0.apk | 5.1 KiB | 2025-Mar-21 11:54 |
| perl-catalyst-plugin-authentication-doc-0.10024-..> | 55.6 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-plugin-authentication-0.10024-r0.apk | 32.0 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-model-dbic-schema-doc-0.66-r0.apk | 17.6 KiB | 2025-Jun-11 16:37 |
| perl-catalyst-model-dbic-schema-0.66-r0.apk | 18.9 KiB | 2025-Jun-11 16:37 |
| perl-catalyst-model-adaptor-doc-0.10-r0.apk | 11.9 KiB | 2025-Apr-15 14:08 |
| perl-catalyst-model-adaptor-0.10-r0.apk | 6.2 KiB | 2025-Apr-15 14:08 |
| perl-catalyst-manual-doc-5.9013-r0.apk | 374.9 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-manual-5.9013-r0.apk | 2.4 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-devel-doc-1.42-r0.apk | 11.1 KiB | 2025-Mar-21 11:54 |
| perl-catalyst-devel-1.42-r0.apk | 53.8 KiB | 2025-Mar-21 11:54 |
| perl-catalyst-controller-actionrole-doc-0.17-r0...> | 4.2 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-controller-actionrole-0.17-r0.apk | 4.7 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-component-instancepercontext-doc-0..> | 3.0 KiB | 2025-Jun-19 14:40 |
| perl-catalyst-component-instancepercontext-0.001..> | 2.5 KiB | 2025-Jun-19 14:40 |
| perl-catalyst-authentication-store-dbix-class-do..> | 13.6 KiB | 2025-Jun-11 16:37 |
| perl-catalyst-authentication-store-dbix-class-0...> | 13.1 KiB | 2025-Jun-11 16:37 |
| perl-catalyst-authentication-credential-http-doc..> | 6.5 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-authentication-credential-http-1.0..> | 8.4 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5.5 KiB | 2025-May-07 10:21 |
| perl-catalyst-actionrole-acl-0.07-r0.apk | 4.1 KiB | 2025-May-07 10:21 |
| perl-catalyst-action-rest-doc-1.21-r0.apk | 26.8 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-action-rest-1.21-r0.apk | 25.1 KiB | 2025-Apr-07 08:33 |
| perl-catalyst-action-renderview-doc-0.17-r0.apk | 3.8 KiB | 2025-Mar-21 02:23 |
| perl-catalyst-action-renderview-0.17-r0.apk | 3.5 KiB | 2025-Mar-21 02:23 |
| perl-carp-repl-doc-0.18-r0.apk | 5.6 KiB | 2025-Apr-26 17:13 |
| perl-carp-repl-0.18-r0.apk | 5.7 KiB | 2025-Apr-26 17:13 |
| perl-carp-assert-more-doc-2.9.0-r0.apk | 7.5 KiB | 2025-Apr-15 14:08 |
| perl-carp-assert-more-2.9.0-r0.apk | 8.7 KiB | 2025-Apr-15 14:08 |
| perl-cache-lru-doc-0.04-r0.apk | 3.0 KiB | 2024-Jan-17 16:50 |
| perl-cache-lru-0.04-r0.apk | 2.7 KiB | 2024-Jan-17 16:50 |
| perl-bytes-random-secure-doc-0.29-r0.apk | 11.9 KiB | 2024-Jan-17 16:50 |
| perl-bytes-random-secure-0.29-r0.apk | 14.1 KiB | 2024-Jan-17 16:50 |
| perl-bsd-resource-doc-1.2911-r11.apk | 7.7 KiB | 2025-Jul-01 13:33 |
| perl-bsd-resource-1.2911-r11.apk | 17.8 KiB | 2025-Jul-01 13:33 |
| perl-bind-config-parser-doc-0.01-r5.apk | 3.4 KiB | 2023-Jul-06 17:21 |
| perl-bind-config-parser-0.01-r5.apk | 3.6 KiB | 2023-Jul-06 17:21 |
| perl-bareword-filehandles-doc-0.007-r1.apk | 3.0 KiB | 2025-Jul-01 13:33 |
| perl-bareword-filehandles-0.007-r1.apk | 5.6 KiB | 2025-Jul-01 13:33 |
| perl-barcode-zbar-doc-0.10-r4.apk | 12.4 KiB | 2025-Jul-01 13:33 |
| perl-barcode-zbar-0.10-r4.apk | 29.8 KiB | 2025-Jul-01 13:33 |
| perl-badger-doc-0.16-r1.apk | 262.5 KiB | 2025-Nov-12 01:50 |
| perl-badger-0.16-r1.apk | 252.9 KiB | 2025-Nov-12 01:50 |
| perl-b-utils-doc-0.27-r1.apk | 9.3 KiB | 2025-Jul-01 13:33 |
| perl-b-utils-0.27-r1.apk | 18.7 KiB | 2025-Jul-01 13:33 |
| perl-b-hooks-op-check-doc-0.22-r1.apk | 3.5 KiB | 2025-Jul-01 13:33 |
| perl-b-hooks-op-check-0.22-r1.apk | 6.2 KiB | 2025-Jul-01 13:33 |
| perl-autobox-doc-3.0.2-r1.apk | 8.6 KiB | 2025-Jul-01 13:33 |
| perl-autobox-3.0.2-r1.apk | 18.1 KiB | 2025-Jul-01 13:33 |
| perl-astro-telescope-doc-0.71-r0.apk | 4.7 KiB | 2025-Jul-21 09:10 |
| perl-astro-telescope-0.71-r0.apk | 48.1 KiB | 2025-Jul-21 09:10 |
| perl-astro-satpass-doc-0.134-r0.apk | 132.6 KiB | 2025-Sep-26 04:02 |
| perl-astro-satpass-0.134-r0.apk | 217.9 KiB | 2025-Sep-26 04:02 |
| perl-astro-pal-doc-1.09-r0.apk | 7.1 KiB | 2025-Jul-21 09:10 |
| perl-astro-pal-1.09-r0.apk | 215.3 KiB | 2025-Jul-21 09:10 |
| perl-astro-montenbruck-doc-1.26-r0.apk | 59.4 KiB | 2025-Jul-21 09:10 |
| perl-astro-montenbruck-1.26-r0.apk | 53.2 KiB | 2025-Jul-21 09:10 |
| perl-astro-doc-0.78-r0.apk | 13.6 KiB | 2025-Jul-21 09:10 |
| perl-astro-coords-doc-0.23-r0.apk | 40.1 KiB | 2025-Oct-15 12:16 |
| perl-astro-coords-0.23-r0.apk | 52.3 KiB | 2025-Oct-15 12:16 |
| perl-astro-0.78-r0.apk | 31.7 KiB | 2025-Jul-21 09:10 |
| perl-asa-doc-1.04-r0.apk | 4.7 KiB | 2025-Jun-11 16:35 |
| perl-asa-1.04-r0.apk | 4.1 KiB | 2025-Jun-11 16:35 |
| perl-array-diff-doc-0.09-r0.apk | 3.6 KiB | 2025-Jul-16 12:26 |
| perl-array-diff-0.09-r0.apk | 3.1 KiB | 2025-Jul-16 12:26 |
| perl-archive-extract-doc-0.88-r1.apk | 6.6 KiB | 2023-Jul-06 17:21 |
| perl-archive-extract-0.88-r1.apk | 15.5 KiB | 2023-Jul-06 17:21 |
| perl-archive-any-lite-doc-0.11-r0.apk | 3.4 KiB | 2025-Jul-16 12:26 |
| perl-archive-any-lite-0.11-r0.apk | 3.9 KiB | 2025-Jul-16 12:26 |
| perl-anyevent-riperedis-doc-0.48-r0.apk | 10.0 KiB | 2024-Jan-17 16:50 |
| perl-anyevent-riperedis-0.48-r0.apk | 12.1 KiB | 2024-Jan-17 16:50 |
| perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 3.7 KiB | 2023-Dec-14 16:55 |
| perl-anyevent-dns-etchosts-0.0105-r0.apk | 4.8 KiB | 2023-Dec-14 16:55 |
| perl-anyevent-dbus-doc-0.31-r0.apk | 3.5 KiB | 2025-Dec-24 10:53 |
| perl-anyevent-dbus-0.31-r0.apk | 3.2 KiB | 2025-Dec-24 10:53 |
| perl-alien-base-modulebuild-doc-1.17-r0.apk | 53.2 KiB | 2025-Jun-08 21:31 |
| perl-alien-base-modulebuild-1.17-r0.apk | 21.5 KiB | 2025-Jun-08 21:31 |
| perl-aliased-doc-0.34-r4.apk | 5.4 KiB | 2023-Jul-06 17:21 |
| perl-aliased-0.34-r4.apk | 5.4 KiB | 2023-Jul-06 17:21 |
| perl-algorithm-permute-doc-0.17-r1.apk | 4.9 KiB | 2025-Jul-01 13:33 |
| perl-algorithm-permute-0.17-r1.apk | 11.6 KiB | 2025-Jul-01 13:33 |
| perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.5 KiB | 2024-Aug-29 10:07 |
| perl-algorithm-evolutionary-0.82.1-r0.apk | 78.5 KiB | 2024-Aug-29 10:07 |
| perl-algorithm-cron-doc-0.10-r4.apk | 4.3 KiB | 2023-Jul-06 17:21 |
| perl-algorithm-cron-0.10-r4.apk | 5.8 KiB | 2023-Jul-06 17:21 |
| perl-algorithm-c3-doc-0.11-r1.apk | 4.8 KiB | 2023-Jul-06 17:21 |
| perl-algorithm-c3-0.11-r1.apk | 5.4 KiB | 2023-Jul-06 17:21 |
| perl-algorithm-backoff-doc-0.010-r0.apk | 29.2 KiB | 2024-Apr-30 01:06 |
| perl-algorithm-backoff-0.010-r0.apk | 9.3 KiB | 2024-Apr-30 01:06 |
| perl-adapter-async-doc-0.019-r0.apk | 16.5 KiB | 2024-Jan-17 16:50 |
| perl-adapter-async-0.019-r0.apk | 7.9 KiB | 2024-Jan-17 16:50 |
| percona-toolkit-doc-3.5.4-r1.apk | 297.3 KiB | 2024-Sep-22 09:39 |
| percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-Sep-22 09:39 |
| pegasus-frontend-doc-16_alpha-r0.apk | 16.0 KiB | 2024-Jun-23 05:32 |
| pegasus-frontend-16_alpha-r0.apk | 1.3 MiB | 2024-Jun-23 05:32 |
| peg-doc-0.1.18-r1.apk | 13.4 KiB | 2022-Oct-25 13:04 |
| peg-0.1.18-r1.apk | 32.0 KiB | 2022-Oct-25 13:04 |
| peervpn-openrc-0.044-r5.apk | 1.5 KiB | 2022-Oct-07 06:50 |
| peervpn-0.044-r5.apk | 39.1 KiB | 2022-Oct-07 06:50 |
| pebble-le-doc-0.3.0-r2.apk | 3.4 KiB | 2024-Dec-14 22:22 |
| pebble-le-dev-0.3.0-r2.apk | 75.2 KiB | 2024-Dec-14 22:22 |
| pebble-le-0.3.0-r2.apk | 63.8 KiB | 2024-Dec-14 22:22 |
| pdfcrack-0.21-r0.apk | 35.0 KiB | 2025-Nov-20 06:04 |
| pdf2svg-0.2.4-r0.apk | 4.1 KiB | 2025-Sep-28 18:50 |
| pdal-python-plugins-1.6.5-r0.apk | 230.6 KiB | 2025-Jun-21 22:06 |
| pcl-libs-1.14.1-r0.apk | 1.3 MiB | 2025-Feb-17 12:15 |
| pcl-dev-1.14.1-r0.apk | 386.6 KiB | 2025-Feb-17 12:15 |
| pcl-1.14.1-r0.apk | 448.6 KiB | 2025-Feb-17 12:15 |
| pathvector-6.3.2-r18.apk | 3.9 MiB | 2025-Dec-24 10:53 |
| pasystray-doc-0.8.2-r0.apk | 3.1 KiB | 2024-Jun-28 05:24 |
| pasystray-0.8.2-r0.apk | 46.3 KiB | 2024-Jun-28 05:24 |
| pash-2.3.0-r2.apk | 4.0 KiB | 2022-Oct-25 13:04 |
| parse-changelog-0.6.12-r0.apk | 577.1 KiB | 2025-May-18 22:25 |
| parcellite-lang-1.2.5-r0.apk | 48.5 KiB | 2024-Jul-11 05:04 |
| parcellite-doc-1.2.5-r0.apk | 25.0 KiB | 2024-Jul-11 05:04 |
| parcellite-1.2.5-r0.apk | 226.3 KiB | 2024-Jul-11 05:04 |
| par2cmdline-turbo-doc-1.3.0-r0.apk | 5.6 KiB | 2025-May-10 01:44 |
| par2cmdline-turbo-1.3.0-r0.apk | 212.8 KiB | 2025-May-10 01:44 |
| par-doc-1.53.0-r1.apk | 30.1 KiB | 2022-Oct-25 13:04 |
| par-1.53.0-r1.apk | 13.1 KiB | 2022-Oct-25 13:04 |
| paprefs-lang-1.2-r2.apk | 37.6 KiB | 2024-Nov-25 09:47 |
| paprefs-1.2-r2.apk | 29.6 KiB | 2024-Nov-25 09:47 |
| paperkey-doc-1.6-r2.apk | 4.3 KiB | 2023-Dec-19 21:12 |
| paperkey-1.6-r2.apk | 17.9 KiB | 2023-Dec-19 21:12 |
| paperde-dev-0.3.0-r2.apk | 4.7 KiB | 2025-Aug-21 08:37 |
| paperde-0.3.0-r2.apk | 617.9 KiB | 2025-Aug-21 08:37 |
| pantalaimon-ui-0.10.5-r4.apk | 1.4 KiB | 2024-Apr-30 01:06 |
| pantalaimon-pyc-0.10.5-r4.apk | 82.6 KiB | 2024-Apr-30 01:06 |
| pantalaimon-doc-0.10.5-r4.apk | 6.1 KiB | 2024-Apr-30 01:06 |
| pantalaimon-0.10.5-r4.apk | 44.5 KiB | 2024-Apr-30 01:06 |
| pandora_box-0.17.0-r0.apk | 422.9 KiB | 2025-Dec-24 10:53 |
| pamtester-doc-0.1.2-r4.apk | 2.6 KiB | 2024-Sep-24 09:50 |
| pamtester-0.1.2-r4.apk | 8.7 KiB | 2024-Sep-24 09:50 |
| pam_sqlite3-1.0.2-r2.apk | 8.4 KiB | 2024-Sep-09 11:49 |
| pam-pkcs11-systemd-0.6.13-r1.apk | 1.5 KiB | 2025-Nov-17 14:57 |
| pam-pkcs11-doc-0.6.13-r1.apk | 14.0 KiB | 2025-Nov-17 14:57 |
| pam-pkcs11-0.6.13-r1.apk | 251.1 KiB | 2025-Nov-17 14:57 |
| pam-krb5-doc-4.11-r1.apk | 23.4 KiB | 2024-Sep-09 11:49 |
| pam-krb5-4.11-r1.apk | 21.9 KiB | 2024-Sep-09 11:49 |
| pacparser-doc-1.4.5-r1.apk | 17.5 KiB | 2024-Sep-14 18:10 |
| pacparser-dev-1.4.5-r1.apk | 3.3 KiB | 2024-Sep-14 18:10 |
| pacparser-1.4.5-r1.apk | 762.4 KiB | 2024-Sep-14 18:10 |
| packwiz-doc-0_git20251102-r1.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| packwiz-0_git20251102-r1.apk | 4.6 MiB | 2025-Dec-24 10:53 |
| p910nd-openrc-0.97-r2.apk | 1.8 KiB | 2022-Jun-04 14:56 |
| p910nd-doc-0.97-r2.apk | 3.0 KiB | 2022-Jun-04 14:56 |
| p910nd-0.97-r2.apk | 6.8 KiB | 2022-Jun-04 14:56 |
| p0f-doc-3.09b-r3.apk | 25.0 KiB | 2024-Sep-23 01:50 |
| p0f-3.09b-r3.apk | 78.8 KiB | 2024-Sep-23 01:50 |
| oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-Oct-21 12:43 |
| ovpncc-doc-0.1_rc1-r0.apk | 6.3 KiB | 2023-Mar-13 12:19 |
| ovpncc-0.1_rc1-r0.apk | 11.7 KiB | 2023-Mar-13 12:19 |
| ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 3.7 KiB | 2024-Apr-30 01:06 |
| ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 45.4 KiB | 2024-Apr-30 01:06 |
| ovos-phal-pyc-0.2.10-r0.apk | 7.0 KiB | 2025-Jul-16 12:26 |
| ovos-phal-0.2.10-r0.apk | 10.2 KiB | 2025-Jul-16 12:26 |
| ovos-messagebus-pyc-0.0.10-r0.apk | 6.4 KiB | 2025-Apr-08 11:36 |
| ovos-messagebus-0.0.10-r0.apk | 9.7 KiB | 2025-Apr-08 11:36 |
| ovos-gui-pyc-1.3.3-r0.apk | 38.2 KiB | 2025-Jul-16 12:26 |
| ovos-gui-1.3.3-r0.apk | 37.6 KiB | 2025-Jul-16 12:26 |
| ovos-core-pyc-2.1.0-r0.apk | 58.0 KiB | 2025-Nov-03 10:01 |
| ovos-core-2.1.0-r0.apk | 48.7 KiB | 2025-Nov-03 10:01 |
| ovos-audio-pyc-1.0.1-r0.apk | 35.6 KiB | 2025-Jul-16 12:26 |
| ovos-audio-1.0.1-r0.apk | 136.0 KiB | 2025-Jul-16 12:26 |
| ovn-openrc-25.09.2-r0.apk | 2.1 KiB | 2025-Dec-24 10:53 |
| ovn-doc-25.09.2-r0.apk | 263.4 KiB | 2025-Dec-24 10:53 |
| ovn-dev-25.09.2-r0.apk | 5.5 MiB | 2025-Dec-24 10:53 |
| ovn-dbg-25.09.2-r0.apk | 7.1 MiB | 2025-Dec-24 10:53 |
| ovn-25.09.2-r0.apk | 2.0 MiB | 2025-Dec-24 10:53 |
| ovhcloud-cli-0.9.0-r0.apk | 7.2 MiB | 2025-Dec-24 10:53 |
| ouch-zsh-completion-0.6.1-r0.apk | 2.9 KiB | 2025-May-28 07:49 |
| ouch-fish-completion-0.6.1-r0.apk | 2.8 KiB | 2025-May-28 07:49 |
| ouch-doc-0.6.1-r0.apk | 3.6 KiB | 2025-May-28 07:49 |
| ouch-bash-completion-0.6.1-r0.apk | 2.2 KiB | 2025-May-28 07:49 |
| ouch-0.6.1-r0.apk | 1.7 MiB | 2025-May-28 07:49 |
| otrs-setup-6.0.48-r2.apk | 107.1 KiB | 2024-Jul-10 03:13 |
| otrs-openrc-6.0.48-r2.apk | 1.6 KiB | 2024-Jul-10 03:13 |
| otrs-nginx-6.0.48-r2.apk | 1.5 KiB | 2024-Jul-10 03:13 |
| otrs-fastcgi-6.0.48-r2.apk | 1.5 KiB | 2024-Jul-10 03:13 |
| otrs-doc-6.0.48-r2.apk | 794.9 KiB | 2024-Jul-10 03:13 |
| otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-Jul-10 03:13 |
| otrs-bash-completion-6.0.48-r2.apk | 2.1 KiB | 2024-Jul-10 03:13 |
| otrs-apache2-6.0.48-r2.apk | 3.8 KiB | 2024-Jul-10 03:13 |
| otrs-6.0.48-r2.apk | 28.7 MiB | 2024-Jul-10 03:13 |
| otpclient-doc-4.1.1-r0.apk | 3.4 KiB | 2025-Jul-23 02:57 |
| otpclient-4.1.1-r0.apk | 112.1 KiB | 2025-Jul-23 02:57 |
| otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.4 KiB | 2024-Sep-28 15:58 |
| otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.4 KiB | 2024-Sep-28 15:58 |
| ostui-doc-1.0.4-r0.apk | 27.7 KiB | 2025-Sep-26 04:02 |
| ostui-1.0.4-r0.apk | 4.7 MiB | 2025-Sep-26 04:02 |
| osmctools-0.9-r0.apk | 116.7 KiB | 2023-Mar-31 21:41 |
| organicmaps-2025.09.05.1-r0.apk | 126.2 MiB | 2025-Oct-20 11:11 |
| orage-lang-4.20.1-r0.apk | 1.2 MiB | 2025-Apr-08 11:58 |
| orage-4.20.1-r0.apk | 577.5 KiB | 2025-Apr-08 11:58 |
| oppa-1.1.0-r0.apk | 529.1 KiB | 2025-Oct-15 12:16 |
| opmsg-1.84-r1.apk | 261.2 KiB | 2022-Oct-07 06:50 |
| opkg-utils-doc-0.7.0-r0.apk | 3.4 KiB | 2024-Sep-29 01:59 |
| opkg-utils-0.7.0-r0.apk | 24.9 KiB | 2024-Sep-29 01:59 |
| opkg-libs-0.7.0-r0.apk | 79.2 KiB | 2024-Sep-29 01:59 |
| opkg-doc-0.7.0-r0.apk | 7.4 KiB | 2024-Sep-29 01:59 |
| opkg-dev-0.7.0-r0.apk | 196.3 KiB | 2024-Sep-29 01:59 |
| opkg-0.7.0-r0.apk | 9.7 KiB | 2024-Sep-29 01:59 |
| openwsman-libs-2.8.1-r1.apk | 322.2 KiB | 2025-Jul-01 13:33 |
| openwsman-doc-2.8.1-r1.apk | 2.1 KiB | 2025-Jul-01 13:33 |
| openwsman-dev-2.8.1-r1.apk | 55.4 KiB | 2025-Jul-01 13:33 |
| openwsman-2.8.1-r1.apk | 48.9 KiB | 2025-Jul-01 13:33 |
| openvpn3-dev-3.8.5-r1.apk | 666.8 KiB | 2025-Feb-17 12:15 |
| openvpn3-3.8.5-r1.apk | 389.7 KiB | 2025-Feb-17 12:15 |
| opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk | 51.1 KiB | 2025-Nov-22 15:54 |
| opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk | 88.2 KiB | 2025-Nov-22 15:54 |
| opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk | 59.6 KiB | 2025-Nov-22 15:54 |
| opentelemetry-cpp-exporter-otlp-common-1.24.0-r0..> | 45.8 KiB | 2025-Nov-22 15:54 |
| opentelemetry-cpp-dev-1.24.0-r0.apk | 521.0 KiB | 2025-Nov-22 15:54 |
| opentelemetry-cpp-1.24.0-r0.apk | 628.9 KiB | 2025-Nov-22 15:54 |
| openswitcher-proxy-openrc-0.5.0-r4.apk | 1.8 KiB | 2024-Sep-30 12:42 |
| openswitcher-proxy-0.5.0-r4.apk | 9.1 KiB | 2024-Sep-30 12:42 |
| openswitcher-0.5.0-r4.apk | 148.1 KiB | 2024-Sep-30 12:42 |
| openscap-daemon-pyc-0.1.10-r9.apk | 101.6 KiB | 2024-Apr-30 01:06 |
| openscap-daemon-doc-0.1.10-r9.apk | 17.3 KiB | 2024-Apr-30 01:06 |
| openscap-daemon-0.1.10-r9.apk | 59.9 KiB | 2024-Apr-30 01:06 |
| opensbi-spacemit-1.3.2.0.4-r0.apk | 261.8 KiB | 2024-Dec-19 20:32 |
| openrdap-doc-0.9.1-r0.apk | 2.0 KiB | 2025-Jun-24 15:51 |
| openrdap-0.9.1-r0.apk | 3.2 MiB | 2025-Jun-24 15:51 |
| openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.0 KiB | 2024-Sep-30 12:42 |
| openocd-riscv-doc-0_git20230104-r2.apk | 3.0 KiB | 2024-Sep-30 12:42 |
| openocd-riscv-dev-0_git20230104-r2.apk | 3.5 KiB | 2024-Sep-30 12:42 |
| openocd-riscv-0_git20230104-r2.apk | 1.5 MiB | 2024-Sep-30 12:42 |
| openocd-git-udev-0_git20251018-r1.apk | 3.3 KiB | 2025-Oct-21 01:09 |
| openocd-git-doc-0_git20251018-r1.apk | 3.0 KiB | 2025-Oct-21 01:09 |
| openocd-git-dev-0_git20251018-r1.apk | 3.1 KiB | 2025-Oct-21 01:09 |
| openocd-git-dbg-0_git20251018-r1.apk | 4.5 MiB | 2025-Oct-21 01:09 |
| openocd-git-cmd-openocd-0_git20251018-r1.apk | 1.3 KiB | 2025-Oct-21 01:09 |
| openocd-git-0_git20251018-r1.apk | 1.7 MiB | 2025-Oct-21 01:09 |
| openocd-esp32-udev-0_git20250707-r2.apk | 3.2 KiB | 2025-Oct-21 01:09 |
| openocd-esp32-doc-0_git20250707-r2.apk | 3.0 KiB | 2025-Oct-21 01:09 |
| openocd-esp32-dev-0_git20250707-r2.apk | 3.4 KiB | 2025-Oct-21 01:09 |
| openocd-esp32-0_git20250707-r2.apk | 2.0 MiB | 2025-Oct-21 01:09 |
| openfpgaloader-0.11.0-r0.apk | 2.0 MiB | 2023-Dec-14 16:55 |
| openfortivpn-doc-1.22.1-r0.apk | 6.0 KiB | 2024-Dec-11 23:30 |
| openfortivpn-1.22.1-r0.apk | 41.3 KiB | 2024-Dec-11 23:30 |
| opendht-libs-3.1.11-r0.apk | 593.8 KiB | 2025-Jan-27 21:08 |
| opendht-doc-3.1.11-r0.apk | 2.8 KiB | 2025-Jan-27 21:08 |
| opendht-dev-3.1.11-r0.apk | 70.6 KiB | 2025-Jan-27 21:08 |
| opendht-3.1.11-r0.apk | 186.3 KiB | 2025-Jan-27 21:08 |
| openapi-validator-1.19.2-r0.apk | 9.9 MiB | 2024-Aug-16 01:55 |
| openapi-tui-0.9.4-r1.apk | 3.8 MiB | 2024-Aug-31 11:06 |
| opcr-policy-0.3.1-r1.apk | 9.8 MiB | 2025-Dec-24 10:53 |
| oniux-doc-0.6.1-r0.apk | 3.0 KiB | 2025-Sep-26 04:02 |
| oniux-0.6.1-r0.apk | 6.0 MiB | 2025-Sep-26 04:02 |
| olsrd-plugins-0.9.8-r3.apk | 181.0 KiB | 2024-Sep-27 02:03 |
| olsrd-openrc-0.9.8-r3.apk | 1.6 KiB | 2024-Sep-27 02:03 |
| olsrd-doc-0.9.8-r3.apk | 24.8 KiB | 2024-Sep-27 02:03 |
| olsrd-0.9.8-r3.apk | 167.2 KiB | 2024-Sep-27 02:03 |
| ol-doc-2.6-r0.apk | 2.3 KiB | 2025-May-01 06:46 |
| ol-dev-2.6-r0.apk | 15.6 KiB | 2025-May-01 06:46 |
| ol-2.6-r0.apk | 1.0 MiB | 2025-May-01 06:46 |
| oils-for-unix-doc-0.35.0-r0.apk | 6.8 KiB | 2025-Sep-28 22:35 |
| oils-for-unix-binsh-0.35.0-r0.apk | 1.3 KiB | 2025-Sep-28 22:35 |
| oils-for-unix-bash-0.35.0-r0.apk | 1.4 KiB | 2025-Sep-28 22:35 |
| oils-for-unix-0.35.0-r0.apk | 677.5 KiB | 2025-Sep-28 22:35 |
| oil-doc-0.21.0-r0.apk | 6.7 KiB | 2024-Mar-15 23:15 |
| oil-0.21.0-r0.apk | 1.5 MiB | 2024-Mar-15 23:15 |
| octoprint-pyc-1.10.3-r0.apk | 1.2 MiB | 2024-Dec-26 04:50 |
| octoprint-pisupport-pyc-2023.10.10-r1.apk | 12.6 KiB | 2024-Oct-02 18:19 |
| octoprint-pisupport-2023.10.10-r1.apk | 30.6 KiB | 2024-Oct-02 18:19 |
| octoprint-openrc-1.10.3-r0.apk | 1.4 KiB | 2024-Dec-26 04:50 |
| octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 17.3 KiB | 2024-Oct-02 18:19 |
| octoprint-firmwarecheck-2021.10.11-r2.apk | 29.0 KiB | 2024-Oct-02 18:19 |
| octoprint-filecheck-pyc-2024.11.12-r0.apk | 11.4 KiB | 2025-Jul-16 12:26 |
| octoprint-filecheck-2024.11.12-r0.apk | 27.7 KiB | 2025-Jul-16 12:26 |
| octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.1 KiB | 2024-Oct-02 18:19 |
| octoprint-creality2xfix-0.0.4-r2.apk | 4.5 KiB | 2024-Oct-02 18:19 |
| octoprint-1.10.3-r0.apk | 3.0 MiB | 2024-Dec-26 04:50 |
| ocfs2-tools-doc-1.8.7-r4.apk | 69.1 KiB | 2024-Oct-21 23:03 |
| ocfs2-tools-dev-1.8.7-r4.apk | 44.7 KiB | 2024-Oct-21 23:03 |
| ocfs2-tools-1.8.7-r4.apk | 1.1 MiB | 2024-Oct-21 23:03 |
| ocaml5-llvm-next-22.0.0_pre20251108-r0.apk | 767.8 KiB | 2025-Nov-09 12:13 |
| obnc-doc-0.17.2-r0.apk | 33.1 KiB | 2025-May-25 23:41 |
| obnc-0.17.2-r0.apk | 161.6 KiB | 2025-May-25 23:41 |
| oblibs-dev-0.3.4.0-r0.apk | 484.3 KiB | 2025-Jun-01 13:15 |
| oblibs-dbg-0.3.4.0-r0.apk | 95.8 KiB | 2025-Jun-01 13:15 |
| oblibs-0.3.4.0-r0.apk | 33.8 KiB | 2025-Jun-01 13:15 |
| objconv-2.52_git20210213-r2.apk | 240.3 KiB | 2022-Oct-25 13:04 |
| nzbget-openrc-25.4-r0.apk | 1.8 KiB | 2025-Nov-17 15:41 |
| nzbget-25.4-r0.apk | 4.8 MiB | 2025-Nov-17 15:41 |
| nymphcast-mediaserver-nftables-0.1-r4.apk | 1.4 KiB | 2025-Aug-28 21:20 |
| nymphcast-mediaserver-0.1-r4.apk | 73.0 KiB | 2025-Aug-28 21:20 |
| nwipe-doc-0.39-r0.apk | 3.5 KiB | 2025-Dec-24 10:53 |
| nwipe-0.39-r0.apk | 271.4 KiB | 2025-Dec-24 10:53 |
| nwg-panel-pyc-0.10.13-r0.apk | 269.5 KiB | 2025-Nov-28 13:30 |
| nwg-panel-doc-0.10.13-r0.apk | 4.2 KiB | 2025-Nov-28 13:30 |
| nwg-panel-0.10.13-r0.apk | 287.8 KiB | 2025-Nov-28 13:30 |
| nwg-menu-doc-0.1.9-r4.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| nwg-menu-0.1.9-r4.apk | 1.7 MiB | 2025-Dec-24 10:53 |
| nwg-look-doc-1.0.6-r1.apk | 3.9 KiB | 2025-Dec-24 10:53 |
| nwg-look-1.0.6-r1.apk | 1.5 MiB | 2025-Dec-24 10:53 |
| nwg-dock-0.4.3-r5.apk | 1.7 MiB | 2025-Dec-24 10:53 |
| nwg-displays-pyc-0.3.26-r0.apk | 35.8 KiB | 2025-Aug-28 07:40 |
| nwg-displays-0.3.26-r0.apk | 26.4 KiB | 2025-Aug-28 07:40 |
| nwg-bar-0.1.6-r15.apk | 1.6 MiB | 2025-Dec-24 10:53 |
| nvtop-doc-3.2.0-r0.apk | 3.3 KiB | 2025-Apr-29 23:40 |
| nvtop-3.2.0-r0.apk | 64.6 KiB | 2025-Apr-29 23:40 |
| nvimpager-zsh-completion-0.12.0-r0.apk | 1.6 KiB | 2023-Jun-22 10:22 |
| nvimpager-doc-0.12.0-r0.apk | 4.2 KiB | 2023-Jun-22 10:22 |
| nvimpager-0.12.0-r0.apk | 12.3 KiB | 2023-Jun-22 10:22 |
| nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 1.7 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.5 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 1.8 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.3 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.3 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.2 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.2 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.5 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.1 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 3.9 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.5 KiB | 2024-Jun-17 08:37 |
| nvim-cmp-0.0.0_git20221011-r1.apk | 54.6 KiB | 2024-Jun-17 08:37 |
| nuzzle-doc-1.6-r0.apk | 2.9 KiB | 2025-Jan-17 15:43 |
| nuzzle-1.6-r0.apk | 11.3 KiB | 2025-Jan-17 15:43 |
| nullmailer-openrc-2.2-r4.apk | 1.6 KiB | 2021-Dec-22 12:37 |
| nullmailer-doc-2.2-r4.apk | 10.2 KiB | 2021-Dec-22 12:37 |
| nullmailer-2.2-r4.apk | 83.7 KiB | 2021-Dec-22 12:37 |
| nuklear-doc-4.12.0-r0.apk | 42.2 KiB | 2024-Mar-03 07:17 |
| nuklear-4.12.0-r0.apk | 219.6 KiB | 2024-Mar-03 07:17 |
| ntpd-rs-openrc-1.6.2-r2.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| ntpd-rs-doc-1.6.2-r2.apk | 23.9 KiB | 2025-Dec-24 10:53 |
| ntpd-rs-1.6.2-r2.apk | 3.2 MiB | 2025-Dec-24 10:53 |
| nsq-1.3.0-r10.apk | 24.7 MiB | 2025-May-15 20:20 |
| nsnake-doc-3.0.0-r0.apk | 2.6 KiB | 2022-Apr-29 10:40 |
| nsnake-3.0.0-r0.apk | 7.9 KiB | 2022-Apr-29 10:40 |
| nrf5-sdk-doc-17.1.0-r0.apk | 3.4 KiB | 2025-Aug-20 01:26 |
| nrf5-sdk-17.1.0-r0.apk | 47.0 MiB | 2025-Aug-20 01:26 |
| notification-daemon-3.20.0-r1.apk | 58.5 KiB | 2025-Jul-02 06:05 |
| normaliz-libs-3.10.4-r3.apk | 2.6 MiB | 2025-Nov-26 09:16 |
| normaliz-dev-3.10.4-r3.apk | 72.3 KiB | 2025-Nov-26 09:16 |
| normaliz-3.10.4-r3.apk | 40.1 KiB | 2025-Nov-26 09:16 |
| nomadnet-pyc-0.8.0-r0.apk | 284.4 KiB | 2025-Sep-28 04:37 |
| nomadnet-0.8.0-r0.apk | 143.0 KiB | 2025-Sep-28 04:37 |
| nom-doc-2.8.0-r8.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| nom-2.8.0-r8.apk | 6.8 MiB | 2025-Dec-24 10:53 |
| noice-doc-0.8-r1.apk | 3.1 KiB | 2022-Oct-25 13:04 |
| noice-0.8-r1.apk | 8.6 KiB | 2022-Oct-25 13:04 |
| noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2023-Jun-02 00:25 |
| noggin-model-0.1-r0.apk | 12.0 MiB | 2023-Jun-02 00:25 |
| noblenote-1.2.1-r1.apk | 408.8 KiB | 2022-Oct-25 13:04 |
| nmap-parse-output-doc-1.5.1-r1.apk | 807.4 KiB | 2025-May-29 12:38 |
| nmap-parse-output-bash-completion-1.5.1-r1.apk | 1.7 KiB | 2025-May-29 12:38 |
| nmap-parse-output-1.5.1-r1.apk | 20.2 KiB | 2025-May-29 12:38 |
| nm-tray-lang-0.5.1-r0.apk | 27.0 KiB | 2025-Sep-26 04:02 |
| nm-tray-0.5.1-r0.apk | 97.8 KiB | 2025-Sep-26 04:02 |
| nkk-doc-0_git20221010-r0.apk | 6.7 KiB | 2023-Feb-23 20:20 |
| nkk-dev-0_git20221010-r0.apk | 2.6 KiB | 2023-Feb-23 20:20 |
| nkk-0_git20221010-r0.apk | 12.2 KiB | 2023-Feb-23 20:20 |
| nitro-init-doc-0.6-r0.apk | 4.3 KiB | 2025-Dec-24 10:53 |
| nitro-init-0.6-r0.apk | 20.5 KiB | 2025-Dec-24 10:53 |
| nitro-dev-2.7_beta8-r2.apk | 189.7 KiB | 2023-Dec-14 16:55 |
| nitro-2.7_beta8-r2.apk | 537.6 KiB | 2023-Dec-14 16:55 |
| nicotine-plus-pyc-3.3.10-r0.apk | 788.6 KiB | 2025-May-01 18:07 |
| nicotine-plus-lang-3.3.10-r0.apk | 756.8 KiB | 2025-May-01 18:07 |
| nicotine-plus-doc-3.3.10-r0.apk | 2.3 KiB | 2025-May-01 18:07 |
| nicotine-plus-3.3.10-r0.apk | 1.6 MiB | 2025-May-01 18:07 |
| ngs-vim-0.2.14-r0.apk | 4.6 KiB | 2022-Oct-13 04:22 |
| ngs-aws-0.2.14-r0.apk | 32.4 KiB | 2022-Oct-13 04:22 |
| ngs-0.2.14-r0.apk | 279.3 KiB | 2022-Oct-13 04:22 |
| nginx-ultimate-bad-bot-blocker-doc-4.2023.10.404..> | 21.0 KiB | 2024-Oct-17 13:56 |
| nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1..> | 712.5 KiB | 2024-Oct-17 13:56 |
| nfoview-doc-2.1-r0.apk | 7.7 KiB | 2025-Apr-15 14:08 |
| nfoview-2.1-r0.apk | 38.4 KiB | 2025-Apr-15 14:08 |
| nfcd-systemd-1.2.3-r1.apk | 1.6 KiB | 2025-Nov-17 05:03 |
| nfcd-dev-1.2.3-r1.apk | 24.1 KiB | 2025-Nov-17 05:03 |
| nfcd-1.2.3-r1.apk | 341.9 KiB | 2025-Nov-17 05:03 |
| nextpnr-ice40-0.7-r0.apk | 68.6 MiB | 2024-Jun-27 04:00 |
| nextpnr-gowin-0.7-r0.apk | 1.5 MiB | 2024-Jun-27 04:00 |
| nextpnr-generic-0.7-r0.apk | 760.9 KiB | 2024-Jun-27 04:00 |
| nextpnr-ecp5-0.7-r0.apk | 25.5 MiB | 2024-Jun-27 04:00 |
| nextpnr-0.7-r0.apk | 1.2 KiB | 2024-Jun-27 04:00 |
| newsyslog-doc-1.2.0.91-r1.apk | 23.8 KiB | 2023-Jun-02 00:25 |
| newsyslog-1.2.0.91-r1.apk | 17.5 KiB | 2023-Jun-02 00:25 |
| networkmanager-dmenu-doc-2.6.1-r1.apk | 6.5 KiB | 2025-Oct-15 12:16 |
| networkmanager-dmenu-2.6.1-r1.apk | 13.5 KiB | 2025-Oct-15 12:16 |
| netsed-1.4-r0.apk | 9.3 KiB | 2025-Aug-18 10:15 |
| netscanner-doc-0.5.1-r1.apk | 3.0 KiB | 2025-Jul-01 21:11 |
| netscanner-0.5.1-r1.apk | 3.6 MiB | 2025-Jul-01 21:11 |
| netdiscover-doc-0.21-r0.apk | 3.9 KiB | 2025-Aug-15 13:24 |
| netdiscover-0.21-r0.apk | 619.9 KiB | 2025-Aug-15 13:24 |
| net-predictable-doc-1.5.1-r5.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| net-predictable-1.5.1-r5.apk | 925.1 KiB | 2025-Dec-24 10:53 |
| neofetch-doc-7.1.0-r2.apk | 5.9 KiB | 2024-Nov-06 21:50 |
| neofetch-7.1.0-r2.apk | 85.4 KiB | 2024-Nov-06 21:50 |
| neocmakelsp-zsh-completion-0.9.0-r0.apk | 1.6 KiB | 2025-Nov-22 13:48 |
| neocmakelsp-fish-completion-0.9.0-r0.apk | 1.4 KiB | 2025-Nov-22 13:48 |
| neocmakelsp-doc-0.9.0-r0.apk | 5.6 KiB | 2025-Nov-22 13:48 |
| neocmakelsp-bash-completion-0.9.0-r0.apk | 1.7 KiB | 2025-Nov-22 13:48 |
| neocmakelsp-0.9.0-r0.apk | 1.7 MiB | 2025-Nov-22 13:48 |
| nemo-gtkhash-plugin-1.5-r0.apk | 22.2 KiB | 2025-Mar-10 19:00 |
| neko-doc-2.3.0-r0.apk | 19.7 KiB | 2024-Nov-20 07:30 |
| neko-dev-2.3.0-r0.apk | 10.1 KiB | 2024-Nov-20 07:30 |
| neko-2.3.0-r0.apk | 457.5 KiB | 2024-Nov-20 07:30 |
| neard-openrc-0.19-r0.apk | 1.5 KiB | 2023-Sep-19 19:53 |
| neard-doc-0.19-r0.apk | 5.3 KiB | 2023-Sep-19 19:53 |
| neard-dev-0.19-r0.apk | 10.8 KiB | 2023-Sep-19 19:53 |
| neard-0.19-r0.apk | 138.9 KiB | 2023-Sep-19 19:53 |
| ndpi-dev-4.10-r0.apk | 1.5 MiB | 2024-Aug-07 01:50 |
| ndpi-4.10-r0.apk | 1.4 MiB | 2024-Aug-07 01:50 |
| nbsdgames-doc-5-r0.apk | 9.4 KiB | 2022-May-06 23:02 |
| nbsdgames-5-r0.apk | 86.5 KiB | 2022-May-06 23:02 |
| nb-zsh-completion-7.19.1-r0.apk | 2.7 KiB | 2025-May-24 22:14 |
| nb-full-7.19.1-r0.apk | 1.0 KiB | 2025-May-24 22:14 |
| nb-fish-completion-7.19.1-r0.apk | 2.5 KiB | 2025-May-24 22:14 |
| nb-doc-7.19.1-r0.apk | 77.2 KiB | 2025-May-24 22:14 |
| nb-bash-completion-7.19.1-r0.apk | 2.7 KiB | 2025-May-24 22:14 |
| nb-7.19.1-r0.apk | 151.9 KiB | 2025-May-24 22:14 |
| nauty-libs-2.9.1-r0.apk | 2.5 MiB | 2025-Sep-26 04:02 |
| nauty-dev-2.9.1-r0.apk | 6.0 MiB | 2025-Sep-26 04:02 |
| nauty-2.9.1-r0.apk | 5.3 MiB | 2025-Sep-26 04:02 |
| nautilus-python-doc-4.0.1-r0.apk | 4.0 KiB | 2025-Oct-15 12:16 |
| nautilus-python-dev-4.0.1-r0.apk | 1.4 KiB | 2025-Oct-15 12:16 |
| nautilus-python-4.0.1-r0.apk | 9.4 KiB | 2025-Oct-15 12:16 |
| nano-hare-0_git20231021-r0.apk | 1.9 KiB | 2024-Jan-31 16:23 |
| n30f-2.0-r3.apk | 6.1 KiB | 2022-Oct-25 13:04 |
| mxclient-0_git20211002-r1.apk | 70.7 KiB | 2022-Oct-25 13:04 |
| musikcube-plugin-taglibreader-3.0.5-r0.apk | 33.7 KiB | 2025-Sep-28 08:08 |
| musikcube-plugin-supereqdsp-3.0.5-r0.apk | 25.5 KiB | 2025-Sep-28 08:08 |
| musikcube-plugin-stockencoders-3.0.5-r0.apk | 18.6 KiB | 2025-Sep-28 08:08 |
| musikcube-plugin-server-3.0.5-r0.apk | 369.8 KiB | 2025-Sep-28 08:08 |
| musikcube-plugin-openmpt-3.0.5-r0.apk | 27.7 KiB | 2025-Sep-28 08:08 |
| musikcube-plugin-mpris-3.0.5-r0.apk | 19.7 KiB | 2025-Sep-28 08:08 |
| musikcube-plugin-httpdatastream-3.0.5-r0.apk | 73.1 KiB | 2025-Sep-28 08:08 |
| musikcube-plugin-all-3.0.5-r0.apk | 1.1 KiB | 2025-Sep-28 08:08 |
| musikcube-dev-3.0.5-r0.apk | 18.8 KiB | 2025-Sep-28 08:08 |
| musikcube-3.0.5-r0.apk | 2.2 MiB | 2025-Sep-28 08:08 |
| muse-doc-4.2.1-r2.apk | 4.1 MiB | 2025-May-15 20:20 |
| muse-4.2.1-r2.apk | 6.0 MiB | 2025-May-15 20:20 |
| mtg-openrc-2.1.7-r26.apk | 1.6 KiB | 2025-Dec-24 10:53 |
| mtg-2.1.7-r26.apk | 4.4 MiB | 2025-Dec-24 10:53 |
| mtail-openrc-3.2.26-r1.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| mtail-3.2.26-r1.apk | 13.2 MiB | 2025-Dec-24 10:53 |
| mspdebug-doc-0.25-r1.apk | 14.0 KiB | 2022-Oct-25 13:04 |
| mspdebug-0.25-r1.apk | 182.4 KiB | 2022-Oct-25 13:04 |
| msh-openrc-2.5.0-r17.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| msh-2.5.0-r17.apk | 2.9 MiB | 2025-Dec-24 10:53 |
| msgpuck-doc-2.0-r1.apk | 7.3 KiB | 2021-Jul-27 08:12 |
| msgpuck-dev-2.0-r1.apk | 31.6 KiB | 2021-Jul-27 08:12 |
| msgpuck-2.0-r1.apk | 1.2 KiB | 2021-Jul-27 08:12 |
| mrsh-libs-0_git20210518-r1.apk | 51.5 KiB | 2022-Oct-25 13:04 |
| mrsh-dev-0_git20210518-r1.apk | 9.7 KiB | 2022-Oct-25 13:04 |
| mrsh-dbg-0_git20210518-r1.apk | 207.5 KiB | 2022-Oct-25 13:04 |
| mrsh-0_git20210518-r1.apk | 4.6 KiB | 2022-Oct-25 13:04 |
| mqtt2prometheus-0.1.7-r21.apk | 4.4 MiB | 2025-Dec-24 10:53 |
| mpv-sponsorblock-2.2.0-r0.apk | 1.4 MiB | 2025-Jun-16 15:22 |
| mpdris2-lang-0.9.1-r3.apk | 2.1 KiB | 2022-Oct-07 06:50 |
| mpdris2-doc-0.9.1-r3.apk | 14.6 KiB | 2022-Oct-07 06:50 |
| mpdris2-0.9.1-r3.apk | 14.9 KiB | 2022-Oct-07 06:50 |
| mpdcron-zsh-completion-0.3-r1.apk | 2.6 KiB | 2022-Oct-25 13:04 |
| mpdcron-doc-0.3-r1.apk | 13.1 KiB | 2022-Oct-25 13:04 |
| mpdcron-dev-0.3-r1.apk | 94.9 KiB | 2022-Oct-25 13:04 |
| mpdcron-0.3-r1.apk | 84.4 KiB | 2022-Oct-25 13:04 |
| mp3val-0.1.8-r1.apk | 12.9 KiB | 2022-Oct-14 19:59 |
| motion-openrc-4.7.1-r0.apk | 2.0 KiB | 2025-Sep-28 08:08 |
| motion-lang-4.7.1-r0.apk | 470.5 KiB | 2025-Sep-28 08:08 |
| motion-doc-4.7.1-r0.apk | 139.4 KiB | 2025-Sep-28 08:08 |
| motion-4.7.1-r0.apk | 141.7 KiB | 2025-Sep-28 08:08 |
| moosefs-static-4.56.6-r2.apk | 1.8 MiB | 2025-Jun-19 14:40 |
| moosefs-metalogger-openrc-4.56.6-r2.apk | 1.4 KiB | 2025-Jun-19 14:40 |
| moosefs-metalogger-4.56.6-r2.apk | 42.0 KiB | 2025-Jun-19 14:40 |
| moosefs-master-openrc-4.56.6-r2.apk | 1.4 KiB | 2025-Jun-19 14:40 |
| moosefs-master-4.56.6-r2.apk | 380.8 KiB | 2025-Jun-19 14:40 |
| moosefs-doc-4.56.6-r2.apk | 94.9 KiB | 2025-Jun-19 14:40 |
| moosefs-client-4.56.6-r2.apk | 777.1 KiB | 2025-Jun-19 14:40 |
| moosefs-chunkserver-openrc-4.56.6-r2.apk | 1.4 KiB | 2025-Jun-19 14:40 |
| moosefs-chunkserver-4.56.6-r2.apk | 201.4 KiB | 2025-Jun-19 14:40 |
| moosefs-cgiserv-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-Jun-19 14:40 |
| moosefs-cgiserv-4.56.6-r2.apk | 7.6 KiB | 2025-Jun-19 14:40 |
| moosefs-cgi-4.56.6-r2.apk | 120.9 KiB | 2025-Jun-19 14:40 |
| moosefs-4.56.6-r2.apk | 272.5 KiB | 2025-Jun-19 14:40 |
| moon-buggy-doc-1.0.51-r1.apk | 6.9 KiB | 2022-Oct-25 13:04 |
| moon-buggy-1.0.51-r1.apk | 31.1 KiB | 2022-Oct-25 13:04 |
| monopd-openrc-0.10.4-r0.apk | 1.4 KiB | 2025-Jan-11 13:41 |
| monopd-0.10.4-r0.apk | 89.0 KiB | 2025-Jan-11 13:41 |
| mongo-cxx-driver-dev-3.8.0-r0.apk | 88.6 KiB | 2023-Aug-19 19:23 |
| mongo-cxx-driver-3.8.0-r0.apk | 183.1 KiB | 2023-Aug-19 19:23 |
| monetdb-doc-11.33.11-r4.apk | 320.7 KiB | 2023-May-01 21:45 |
| monetdb-dev-11.33.11-r4.apk | 76.8 KiB | 2023-May-01 21:45 |
| monetdb-11.33.11-r4.apk | 2.3 MiB | 2023-May-01 21:45 |
| moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2021-Jul-27 08:12 |
| moe-doc-1.14-r0.apk | 18.7 KiB | 2024-Jan-21 03:29 |
| moe-1.14-r0.apk | 105.3 KiB | 2024-Jan-21 03:29 |
| mods-doc-1.8.1-r3.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| mods-1.8.1-r3.apk | 10.2 MiB | 2025-Dec-24 10:53 |
| modem-manager-gui-lang-0.0.20-r0.apk | 129.3 KiB | 2021-Oct-29 14:02 |
| modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2021-Oct-29 14:02 |
| modem-manager-gui-0.0.20-r0.apk | 308.6 KiB | 2021-Oct-29 14:02 |
| mod_dnssd-0.6-r1.apk | 8.0 KiB | 2025-Aug-10 16:56 |
| mobroute-doc-0.10.0-r5.apk | 1.3 MiB | 2025-Dec-24 10:53 |
| mobroute-0.10.0-r5.apk | 4.5 MiB | 2025-Dec-24 10:53 |
| mobpass-pyc-0.2-r6.apk | 5.0 KiB | 2024-Aug-07 01:50 |
| mobpass-0.2-r6.apk | 17.8 KiB | 2024-Aug-07 01:50 |
| mnamer-pyc-2.5.5-r1.apk | 60.2 KiB | 2024-Apr-30 01:06 |
| mnamer-2.5.5-r1.apk | 31.4 KiB | 2024-Apr-30 01:06 |
| mmar-0.2.5-r1.apk | 2.5 MiB | 2025-May-15 20:20 |
| mm-doc-1.4.2-r1.apk | 14.3 KiB | 2021-Jul-27 08:12 |
| mm-dev-1.4.2-r1.apk | 14.7 KiB | 2021-Jul-27 08:12 |
| mm-common-doc-1.0.7-r0.apk | 33.2 KiB | 2025-Jun-27 16:40 |
| mm-common-1.0.7-r0.apk | 413.9 KiB | 2025-Jun-27 16:40 |
| mm-1.4.2-r1.apk | 6.6 KiB | 2021-Jul-27 08:12 |
| mlxl-0.1-r0.apk | 5.2 KiB | 2023-Mar-18 10:50 |
| mlir-next-static-22.0.0_pre20251108-r0.apk | 73.7 MiB | 2025-Nov-09 12:13 |
| mlir-next-libs-22.0.0_pre20251108-r0.apk | 19.9 MiB | 2025-Nov-09 12:13 |
| mlir-next-dev-22.0.0_pre20251108-r0.apk | 7.1 MiB | 2025-Nov-09 12:13 |
| mlir-next-22.0.0_pre20251108-r0.apk | 20.5 MiB | 2025-Nov-09 12:13 |
| mktorrent-borg-doc-0.9.9-r1.apk | 2.2 KiB | 2022-Oct-07 06:50 |
| mktorrent-borg-0.9.9-r1.apk | 8.4 KiB | 2022-Oct-07 06:50 |
| mkrundir-0.4.0-r1.apk | 87.0 KiB | 2024-Nov-29 12:38 |
| mkosi-pyc-26-r0.apk | 438.2 KiB | 2025-Dec-24 10:53 |
| mkosi-doc-26-r0.apk | 74.7 KiB | 2025-Dec-24 10:53 |
| mkosi-26-r0.apk | 294.3 KiB | 2025-Dec-24 10:53 |
| mkg3a-doc-0.5.0-r1.apk | 2.8 KiB | 2022-Oct-25 13:04 |
| mkg3a-0.5.0-r1.apk | 12.4 KiB | 2022-Oct-25 13:04 |
| mkdotenv-0.4.9-r2.apk | 913.6 KiB | 2025-Dec-24 10:53 |
| mkdocs-windmill-pyc-1.0.5-r4.apk | 1.5 KiB | 2024-Aug-07 01:50 |
| mkdocs-windmill-1.0.5-r4.apk | 943.8 KiB | 2024-Aug-07 01:50 |
| mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.6 KiB | 2024-Aug-07 01:50 |
| mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.1 KiB | 2024-Aug-07 01:50 |
| mkdocs-ivory-pyc-0.4.6-r5.apk | 1.5 KiB | 2024-Aug-07 01:50 |
| mkdocs-ivory-0.4.6-r5.apk | 10.7 KiB | 2024-Aug-07 01:50 |
| mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.6 KiB | 2024-Aug-07 01:50 |
| mkdocs-gitbook-0.0.1-r5.apk | 643.9 KiB | 2024-Aug-07 01:50 |
| mkdocs-cluster-pyc-0.0.9-r5.apk | 1.6 KiB | 2024-Aug-07 01:50 |
| mkdocs-cluster-0.0.9-r5.apk | 650.9 KiB | 2024-Aug-07 01:50 |
| mkdocs-cinder-pyc-1.2.0-r5.apk | 1.5 KiB | 2024-Aug-07 01:50 |
| mkdocs-cinder-1.2.0-r5.apk | 248.4 KiB | 2024-Aug-07 01:50 |
| mkdocs-bootswatch-pyc-1.1-r5.apk | 4.5 KiB | 2024-Aug-07 01:50 |
| mkdocs-bootswatch-1.1-r5.apk | 538.1 KiB | 2024-Aug-07 01:50 |
| mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.5 KiB | 2024-Aug-07 01:50 |
| mkdocs-bootstrap4-0.1.5-r5.apk | 259.8 KiB | 2024-Aug-07 01:50 |
| mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.6 KiB | 2024-Aug-07 01:50 |
| mkdocs-bootstrap386-0.0.2-r5.apk | 791.0 KiB | 2024-Aug-07 01:50 |
| mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.5 KiB | 2024-Aug-07 01:50 |
| mkdocs-bootstrap-1.1.1-r2.apk | 28.3 KiB | 2024-Aug-07 01:50 |
| mkcert-1.4.4-r24.apk | 1.7 MiB | 2025-Dec-24 10:53 |
| mkbrr-1.18.0-r1.apk | 4.0 MiB | 2025-Dec-24 10:53 |
| mjpg-streamer-0_git20210220-r2.apk | 181.9 KiB | 2025-May-15 20:20 |
| mirrorhall-0.1.1-r2.apk | 26.0 KiB | 2025-Aug-10 16:56 |
| miracle-wm-dev-0.8.2-r1.apk | 15.2 KiB | 2025-Dec-24 10:53 |
| miracle-wm-0.8.2-r1.apk | 467.5 KiB | 2025-Dec-24 10:53 |
| mir-test-tools-2.25.2-r0.apk | 1.4 MiB | 2025-Dec-24 10:53 |
| mir-dev-2.25.2-r0.apk | 14.6 MiB | 2025-Dec-24 10:53 |
| mir-demos-2.25.2-r0.apk | 158.0 KiB | 2025-Dec-24 10:53 |
| mir-2.25.2-r0.apk | 2.5 MiB | 2025-Dec-24 10:53 |
| mint-y-theme-xfwm4-2.3.2-r0.apk | 203.2 KiB | 2025-Nov-17 05:03 |
| mint-y-theme-metacity-2.3.2-r0.apk | 54.6 KiB | 2025-Nov-17 05:03 |
| mint-y-theme-gtk4-2.3.2-r0.apk | 1.7 MiB | 2025-Nov-17 05:03 |
| mint-y-theme-gtk3-2.3.2-r0.apk | 2.0 MiB | 2025-Nov-17 05:03 |
| mint-y-theme-gtk2-2.3.2-r0.apk | 594.6 KiB | 2025-Nov-17 05:03 |
| mint-y-theme-2.3.2-r0.apk | 3.3 KiB | 2025-Nov-17 05:03 |
| mint-y-icons-doc-1.8.9-r0.apk | 11.7 KiB | 2025-Dec-01 06:37 |
| mint-y-icons-1.8.9-r0.apk | 73.0 MiB | 2025-Dec-01 06:37 |
| mint-x-theme-xfwm4-2.3.2-r0.apk | 31.0 KiB | 2025-Nov-17 05:03 |
| mint-x-theme-metacity-2.3.2-r0.apk | 5.8 KiB | 2025-Nov-17 05:03 |
| mint-x-theme-gtk4-2.3.2-r0.apk | 502.8 KiB | 2025-Nov-17 05:03 |
| mint-x-theme-gtk3-2.3.2-r0.apk | 597.1 KiB | 2025-Nov-17 05:03 |
| mint-x-theme-gtk2-2.3.2-r0.apk | 452.4 KiB | 2025-Nov-17 05:03 |
| mint-x-theme-2.3.2-r0.apk | 2.5 KiB | 2025-Nov-17 05:03 |
| mint-x-icons-doc-1.7.5-r0.apk | 7.6 KiB | 2025-Dec-01 06:37 |
| mint-x-icons-1.7.5-r0.apk | 23.2 MiB | 2025-Dec-01 06:37 |
| mint-themes-doc-2.3.2-r0.apk | 14.0 KiB | 2025-Nov-17 05:03 |
| mint-themes-2.3.2-r0.apk | 1.8 MiB | 2025-Nov-17 05:03 |
| minisatip-openrc-1.3.4-r0.apk | 1.6 KiB | 2024-Mar-15 05:36 |
| minisatip-1.3.4-r0.apk | 324.7 KiB | 2024-Mar-15 05:36 |
| minimodem-doc-0.24-r1.apk | 4.9 KiB | 2022-Oct-25 13:04 |
| minimodem-0.24-r1.apk | 18.7 KiB | 2022-Oct-25 13:04 |
| minigalaxy-pyc-1.4.0-r0.apk | 134.3 KiB | 2025-Jul-16 12:25 |
| minigalaxy-1.4.0-r0.apk | 197.0 KiB | 2025-Jul-16 12:25 |
| minidyndns-openrc-1.3.0-r3.apk | 1.8 KiB | 2021-Oct-19 21:12 |
| minidyndns-doc-1.3.0-r3.apk | 5.1 KiB | 2021-Oct-19 21:12 |
| minidyndns-1.3.0-r3.apk | 11.6 KiB | 2021-Oct-19 21:12 |
| mimeo-pyc-2023-r2.apk | 41.3 KiB | 2024-Aug-07 01:50 |
| mimeo-2023-r2.apk | 27.9 KiB | 2024-Aug-07 01:50 |
| mimedefang-doc-3.6-r1.apk | 80.8 KiB | 2025-Oct-06 01:41 |
| mimedefang-3.6-r1.apk | 157.0 KiB | 2025-Oct-06 01:41 |
| mimalloc1-insecure-1.9.4-r0.apk | 69.3 KiB | 2025-Nov-06 10:52 |
| mimalloc1-dev-1.9.4-r0.apk | 971.8 KiB | 2025-Nov-06 10:52 |
| mimalloc1-debug-1.9.4-r0.apk | 206.5 KiB | 2025-Nov-06 10:52 |
| mimalloc1-1.9.4-r0.apk | 74.7 KiB | 2025-Nov-06 10:52 |
| milkytracker-doc-1.04.00-r2.apk | 50.2 KiB | 2024-Mar-25 12:20 |
| milkytracker-1.04.00-r2.apk | 1010.2 KiB | 2024-Mar-25 12:20 |
| microsocks-openrc-1.0.5-r0.apk | 1.6 KiB | 2025-Dec-24 10:53 |
| microsocks-doc-1.0.5-r0.apk | 3.7 KiB | 2025-Dec-24 10:53 |
| microsocks-1.0.5-r0.apk | 7.7 KiB | 2025-Dec-24 10:53 |
| metricbeat-openrc-9.2.0-r1.apk | 1.8 KiB | 2025-Dec-24 10:53 |
| metricbeat-9.2.0-r1.apk | 47.2 MiB | 2025-Dec-24 10:53 |
| metalang99-1.13.3-r0.apk | 54.0 KiB | 2023-May-21 12:09 |
| metadata-cleaner-lang-2.5.6-r0.apk | 65.7 KiB | 2025-Jul-08 20:57 |
| metadata-cleaner-doc-2.5.6-r0.apk | 1.9 MiB | 2025-Jul-08 20:57 |
| metadata-cleaner-2.5.6-r0.apk | 48.9 KiB | 2025-Jul-08 20:57 |
| mesonlsp-4.3.7-r4.apk | 2.1 MiB | 2025-Jun-19 05:49 |
| mergerfs-doc-2.41.1-r0.apk | 3.1 KiB | 2025-Dec-24 10:53 |
| mergerfs-2.41.1-r0.apk | 413.0 KiB | 2025-Dec-24 10:53 |
| menumaker-0.99.14-r1.apk | 110.8 KiB | 2022-Oct-14 19:59 |
| memdump-doc-1.01-r1.apk | 2.9 KiB | 2022-Oct-25 13:04 |
| memdump-1.01-r1.apk | 4.8 KiB | 2022-Oct-25 13:04 |
| melange-zsh-completion-0.37.0-r0.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| melange-fish-completion-0.37.0-r0.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| melange-bash-completion-0.37.0-r0.apk | 6.4 KiB | 2025-Dec-24 10:53 |
| melange-0.37.0-r0.apk | 11.8 MiB | 2025-Dec-24 10:53 |
| megazeux-doc-2.93d-r0.apk | 465.0 KiB | 2025-Jun-10 13:55 |
| megazeux-2.93d-r0.apk | 1.4 MiB | 2025-Jun-10 13:55 |
| megatools-doc-1.11.5.20250706-r0.apk | 51.9 KiB | 2025-Jul-30 20:27 |
| megatools-bash-completion-1.11.5.20250706-r0.apk | 3.8 KiB | 2025-Jul-30 20:27 |
| megatools-1.11.5.20250706-r0.apk | 62.4 KiB | 2025-Jul-30 20:27 |
| meep-dev-1.31.0-r1.apk | 792.0 KiB | 2025-Aug-19 23:17 |
| meep-1.31.0-r1.apk | 641.0 KiB | 2025-Aug-19 23:17 |
| mediastreamer2-plugin-x264-20200722-r6.apk | 9.7 KiB | 2024-Apr-30 01:06 |
| mediastreamer2-plugin-openh264-5.2.0_git20231020..> | 11.4 KiB | 2025-Mar-10 14:12 |
| mediastreamer2-doc-5.3.112-r1.apk | 107.5 KiB | 2025-Oct-19 15:26 |
| mediastreamer2-dev-5.3.112-r1.apk | 109.4 KiB | 2025-Oct-19 15:26 |
| mediastreamer2-5.3.112-r1.apk | 369.0 KiB | 2025-Oct-19 15:26 |
| mediascanner2-systemd-0.118-r4.apk | 1.5 KiB | 2025-Nov-17 14:57 |
| mediascanner2-0.118-r4.apk | 277.9 KiB | 2025-Nov-17 14:57 |
| mdp-doc-1.0.18-r0.apk | 3.6 KiB | 2025-Jul-16 12:25 |
| mdp-1.0.18-r0.apk | 16.2 KiB | 2025-Jul-16 12:25 |
| mdnsd-static-0.12-r1.apk | 31.6 KiB | 2023-May-21 12:09 |
| mdnsd-openrc-0.12-r1.apk | 1.9 KiB | 2023-May-21 12:09 |
| mdnsd-libs-0.12-r1.apk | 17.9 KiB | 2023-May-21 12:09 |
| mdnsd-doc-0.12-r1.apk | 14.1 KiB | 2023-May-21 12:09 |
| mdnsd-0.12-r1.apk | 22.8 KiB | 2023-May-21 12:09 |
| mdbook-plantuml-0.8.0-r0.apk | 895.3 KiB | 2024-Jul-16 05:17 |
| mdbook-linkcheck-0.7.7-r0.apk | 2.7 MiB | 2025-May-16 19:26 |
| mdbook-katex-0.9.4-r0.apk | 1.2 MiB | 2025-May-18 05:06 |
| mdbook-alerts-0.8.0-r0.apk | 743.9 KiB | 2025-Sep-28 04:37 |
| mdbook-admonish-1.20.0-r0.apk | 1.0 MiB | 2025-Jun-17 05:38 |
| md5ha1-0_git20171202-r1.apk | 8.3 KiB | 2022-Oct-25 13:04 |
| mcjoin-doc-2.11-r0.apk | 53.5 KiB | 2022-Oct-07 06:49 |
| mcjoin-2.11-r0.apk | 20.2 KiB | 2022-Oct-07 06:49 |
| mbrola-3.3-r0.apk | 18.8 KiB | 2022-Oct-07 06:49 |
| maxima-emacs-5.48.1-r9.apk | 110.5 KiB | 2025-Aug-22 18:13 |
| maxima-doc-extra-5.48.1-r9.apk | 9.6 MiB | 2025-Aug-22 18:13 |
| maxima-doc-5.48.1-r9.apk | 845.4 KiB | 2025-Aug-22 18:13 |
| maxima-bash-completion-5.48.1-r9.apk | 2.1 KiB | 2025-Aug-22 18:13 |
| maxima-5.48.1-r9.apk | 24.9 MiB | 2025-Aug-22 18:13 |
| mautrix-zulip-openrc-0.2511.0-r1.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| mautrix-zulip-doc-0.2511.0-r1.apk | 12.9 KiB | 2025-Dec-24 10:53 |
| mautrix-zulip-0.2511.0-r1.apk | 6.6 MiB | 2025-Dec-24 10:53 |
| mautrix-linkedin-openrc-0.2512.0-r0.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| mautrix-linkedin-doc-0.2512.0-r0.apk | 12.9 KiB | 2025-Dec-24 10:53 |
| mautrix-linkedin-0.2512.0-r0.apk | 6.5 MiB | 2025-Dec-24 10:53 |
| materia-gtk4-20210322-r3.apk | 42.4 KiB | 2025-Jul-16 12:25 |
| materia-gtk3-20210322-r3.apk | 62.8 KiB | 2025-Jul-16 12:25 |
| materia-gtk2-20210322-r3.apk | 34.3 KiB | 2025-Jul-16 12:25 |
| materia-gtk-theme-20210322-r3.apk | 2.6 KiB | 2025-Jul-16 12:25 |
| materia-gnome-shell-20210322-r3.apk | 28.9 KiB | 2025-Jul-16 12:25 |
| materia-dark-gtk4-20210322-r3.apk | 29.2 KiB | 2025-Jul-16 12:25 |
| materia-dark-gtk3-20210322-r3.apk | 39.4 KiB | 2025-Jul-16 12:25 |
| materia-dark-gtk2-20210322-r3.apk | 34.2 KiB | 2025-Jul-16 12:25 |
| materia-dark-gnome-shell-20210322-r3.apk | 28.9 KiB | 2025-Jul-16 12:25 |
| materia-dark-compact-gtk4-20210322-r3.apk | 29.1 KiB | 2025-Jul-16 12:25 |
| materia-dark-compact-gtk3-20210322-r3.apk | 39.3 KiB | 2025-Jul-16 12:25 |
| materia-dark-compact-gtk2-20210322-r3.apk | 34.3 KiB | 2025-Jul-16 12:25 |
| materia-dark-compact-gnome-shell-20210322-r3.apk | 29.0 KiB | 2025-Jul-16 12:25 |
| materia-dark-compact-chromium-20210322-r3.apk | 5.5 KiB | 2025-Jul-16 12:25 |
| materia-dark-compact-20210322-r3.apk | 1.4 KiB | 2025-Jul-16 12:25 |
| materia-dark-chromium-20210322-r3.apk | 5.4 KiB | 2025-Jul-16 12:25 |
| materia-dark-20210322-r3.apk | 1.4 KiB | 2025-Jul-16 12:25 |
| materia-compact-gtk4-20210322-r3.apk | 42.3 KiB | 2025-Jul-16 12:25 |
| materia-compact-gtk3-20210322-r3.apk | 62.6 KiB | 2025-Jul-16 12:25 |
| materia-compact-gtk2-20210322-r3.apk | 34.3 KiB | 2025-Jul-16 12:25 |
| materia-compact-gnome-shell-20210322-r3.apk | 29.0 KiB | 2025-Jul-16 12:25 |
| materia-compact-chromium-20210322-r3.apk | 5.4 KiB | 2025-Jul-16 12:25 |
| materia-compact-20210322-r3.apk | 1.4 KiB | 2025-Jul-16 12:25 |
| materia-chromium-20210322-r3.apk | 5.4 KiB | 2025-Jul-16 12:25 |
| materia-20210322-r3.apk | 1.4 KiB | 2025-Jul-16 12:25 |
| mat2-pyc-0.13.5-r0.apk | 54.2 KiB | 2025-Sep-26 04:02 |
| mat2-doc-0.13.5-r0.apk | 7.4 KiB | 2025-Sep-26 04:02 |
| mat2-0.13.5-r0.apk | 35.1 KiB | 2025-Sep-26 04:02 |
| masky-pyc-0.2.0-r2.apk | 63.4 KiB | 2025-May-29 12:38 |
| masky-0.2.0-r2.apk | 276.6 KiB | 2025-May-29 12:38 |
| marxan-4.0.7-r1.apk | 542.7 KiB | 2022-Oct-25 13:04 |
| mapserver-dev-8.4.1-r1.apk | 539.6 KiB | 2025-Dec-24 10:53 |
| mapserver-8.4.1-r1.apk | 1.3 MiB | 2025-Dec-24 10:53 |
| mapnik-doc-4.1.4-r0.apk | 142.7 KiB | 2025-Dec-24 10:53 |
| mapnik-dev-4.1.4-r0.apk | 486.6 KiB | 2025-Dec-24 10:53 |
| mapnik-4.1.4-r0.apk | 12.6 MiB | 2025-Dec-24 10:53 |
| manifest-tool-2.2.0-r6.apk | 3.9 MiB | 2025-Dec-24 10:53 |
| mangr0ve-doc-0.1.2-r0.apk | 14.2 KiB | 2024-Jan-29 02:20 |
| mangr0ve-0.1.2-r0.apk | 2.5 KiB | 2024-Jan-29 02:20 |
| mangowc-0.10.8-r0.apk | 117.2 KiB | 2025-Dec-24 10:53 |
| mangal-zsh-completion-4.0.6-r23.apk | 3.7 KiB | 2025-Dec-24 10:53 |
| mangal-fish-completion-4.0.6-r23.apk | 3.7 KiB | 2025-Dec-24 10:53 |
| mangal-bash-completion-4.0.6-r23.apk | 4.7 KiB | 2025-Dec-24 10:53 |
| mangal-4.0.6-r23.apk | 10.1 MiB | 2025-Dec-24 10:53 |
| malcontent-doc-0.13.1-r0.apk | 44.5 KiB | 2025-Sep-26 04:02 |
| malcontent-dev-0.13.1-r0.apk | 23.7 KiB | 2025-Sep-26 04:02 |
| malcontent-0.13.1-r0.apk | 156.7 KiB | 2025-Sep-26 04:02 |
| makeself-2.5.0-r0.apk | 12.7 KiB | 2023-Jun-09 21:37 |
| makeclapman-doc-2.4.4-r10.apk | 3.9 KiB | 2025-Dec-24 10:53 |
| makeclapman-2.4.4-r10.apk | 1.3 MiB | 2025-Dec-24 10:53 |
| mailsec-check-0_git20210729-r31.apk | 2.6 MiB | 2025-Dec-24 10:53 |
| maildir2rss-0.0.7-r10.apk | 3.5 MiB | 2025-Dec-24 10:53 |
| maildir-rank-addr-doc-1.4.1-r1.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| maildir-rank-addr-1.4.1-r1.apk | 3.3 MiB | 2025-Dec-24 10:53 |
| magpie-lang-0.9.4-r0.apk | 849.2 KiB | 2025-Oct-16 18:43 |
| magpie-dev-0.9.4-r0.apk | 246.0 KiB | 2025-Oct-16 18:43 |
| magpie-0.9.4-r0.apk | 1.8 MiB | 2025-Oct-16 18:43 |
| mage-1.13.0-r23.apk | 1.5 MiB | 2025-May-15 20:19 |
| macchina-doc-6.4.0-r0.apk | 5.4 KiB | 2025-Jul-23 02:57 |
| macchina-6.4.0-r0.apk | 1015.4 KiB | 2025-Jul-23 02:57 |
| m2r2-pyc-0.3.3-r3.apk | 15.3 KiB | 2024-Aug-30 21:44 |
| m2r2-0.3.3-r3.apk | 12.2 KiB | 2024-Aug-30 21:44 |
| lyrics-in-terminal-1.7.0-r0.apk | 37.8 KiB | 2025-Jan-03 17:12 |
| lynis-doc-3.1.4-r0.apk | 49.9 KiB | 2025-Jul-29 09:09 |
| lynis-bash-completion-3.1.4-r0.apk | 2.7 KiB | 2025-Jul-29 09:09 |
| lynis-3.1.4-r0.apk | 275.4 KiB | 2025-Jul-29 09:09 |
| lxqt-wayland-session-doc-0.3.0-r0.apk | 28.7 KiB | 2025-Nov-20 11:56 |
| lxqt-wayland-session-0.3.0-r0.apk | 338.7 KiB | 2025-Nov-20 11:56 |
| lxappearance-lang-0.6.3-r3.apk | 80.2 KiB | 2023-May-29 12:11 |
| lxappearance-doc-0.6.3-r3.apk | 2.4 KiB | 2023-May-29 12:11 |
| lxappearance-dev-0.6.3-r3.apk | 3.0 KiB | 2023-May-29 12:11 |
| lxappearance-0.6.3-r3.apk | 28.9 KiB | 2023-May-29 12:11 |
| lv_font_conv-doc-1.5.3-r0.apk | 4.7 KiB | 2025-Aug-20 01:25 |
| lv_font_conv-1.5.3-r0.apk | 1.1 MiB | 2025-Aug-20 01:25 |
| lutris-pyc-0.5.19-r1.apk | 1.1 MiB | 2025-Sep-26 04:02 |
| lutris-lang-0.5.19-r1.apk | 810.2 KiB | 2025-Sep-26 04:02 |
| lutris-doc-0.5.19-r1.apk | 2.1 KiB | 2025-Sep-26 04:02 |
| lutris-0.5.19-r1.apk | 818.9 KiB | 2025-Sep-26 04:02 |
| lutgen-zsh-completion-1.0.1-r0.apk | 1.5 KiB | 2025-Nov-20 06:04 |
| lutgen-fish-completion-1.0.1-r0.apk | 1.5 KiB | 2025-Nov-20 06:04 |
| lutgen-doc-1.0.1-r0.apk | 4.2 KiB | 2025-Nov-20 06:04 |
| lutgen-bash-completion-1.0.1-r0.apk | 1.5 KiB | 2025-Nov-20 06:04 |
| lutgen-1.0.1-r0.apk | 1.8 MiB | 2025-Nov-20 06:04 |
| lumina-desktop-textedit-1.6.2-r0.apk | 198.1 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-sudo-1.6.2-r0.apk | 99.1 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-screenshot-1.6.2-r0.apk | 171.6 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-photo-1.6.2-r0.apk | 129.0 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-mediaplayer-1.6.2-r0.apk | 204.0 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-fm-1.6.2-r0.apk | 403.7 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-fileinfo-1.6.2-r0.apk | 163.5 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-doc-1.6.2-r0.apk | 11.5 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-coreutils-1.6.2-r0.apk | 839.1 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-core-1.6.2-r0.apk | 9.0 MiB | 2022-Jul-05 19:19 |
| lumina-desktop-archiver-1.6.2-r0.apk | 170.7 KiB | 2022-Jul-05 19:19 |
| lumina-desktop-1.6.2-r0.apk | 1.2 KiB | 2022-Jul-05 19:19 |
| luksmeta-doc-9-r0.apk | 5.5 KiB | 2022-Jun-17 02:36 |
| luksmeta-dev-9-r0.apk | 3.1 KiB | 2022-Jun-17 02:36 |
| luksmeta-9-r0.apk | 12.4 KiB | 2022-Jun-17 02:36 |
| luapak-0.1.0_beta5-r0.apk | 35.3 KiB | 2021-Jul-27 08:11 |
| luacov-html-1.0.0-r1.apk | 1.2 KiB | 2022-Jun-02 17:23 |
| luacov-0.15.0-r0.apk | 1.2 KiB | 2023-Jun-08 22:46 |
| lua5.4-luastatic-0.0.12-r1.apk | 8.2 KiB | 2022-Oct-25 13:04 |
| lua5.4-luacov-0.15.0-r0.apk | 23.0 KiB | 2023-Jun-08 22:46 |
| lua5.4-linenoise-0.9-r1.apk | 15.8 KiB | 2021-Jul-27 08:11 |
| lua5.4-editorconfig-0.3.0-r0.apk | 3.9 KiB | 2021-Jul-27 08:11 |
| lua5.3-psl-0.3-r0.apk | 5.3 KiB | 2021-Jul-27 08:11 |
| lua5.3-luastatic-0.0.12-r1.apk | 8.1 KiB | 2022-Oct-25 13:04 |
| lua5.3-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2022-Jun-02 17:23 |
| lua5.3-luacov-0.15.0-r0.apk | 23.0 KiB | 2023-Jun-08 22:46 |
| lua5.3-linenoise-0.9-r1.apk | 15.8 KiB | 2021-Jul-27 08:11 |
| lua5.3-editorconfig-0.3.0-r0.apk | 3.9 KiB | 2021-Jul-27 08:11 |
| lua5.2-xml-1.1.3-r2.apk | 22.9 KiB | 2024-Sep-30 13:03 |
| lua5.2-ubus-2025.10.17-r0.apk | 9.2 KiB | 2025-Oct-26 23:29 |
| lua5.2-psl-0.3-r0.apk | 5.3 KiB | 2021-Jul-27 08:11 |
| lua5.2-luastatic-0.0.12-r1.apk | 8.1 KiB | 2022-Oct-25 13:04 |
| lua5.2-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2022-Jun-02 17:23 |
| lua5.2-luacov-0.15.0-r0.apk | 23.0 KiB | 2023-Jun-08 22:46 |
| lua5.2-linenoise-0.9-r1.apk | 15.8 KiB | 2021-Jul-27 08:11 |
| lua5.2-libmodbus-0.6.1-r0.apk | 8.3 KiB | 2021-Jul-27 08:11 |
| lua5.2-editorconfig-0.3.0-r0.apk | 3.9 KiB | 2021-Jul-27 08:11 |
| lua5.1-xml-1.1.3-r2.apk | 23.0 KiB | 2024-Sep-30 13:03 |
| lua5.1-ubus-2025.10.17-r0.apk | 9.2 KiB | 2025-Oct-26 23:29 |
| lua5.1-psl-0.3-r0.apk | 5.4 KiB | 2021-Jul-27 08:11 |
| lua5.1-luastatic-0.0.12-r1.apk | 65.9 KiB | 2022-Oct-25 13:04 |
| lua5.1-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2022-Jun-02 17:23 |
| lua5.1-luacov-0.15.0-r0.apk | 23.0 KiB | 2023-Jun-08 22:46 |
| lua5.1-linenoise-0.9-r1.apk | 15.8 KiB | 2021-Jul-27 08:11 |
| lua5.1-libmodbus-0.6.1-r0.apk | 8.3 KiB | 2021-Jul-27 08:11 |
| lua5.1-lcurses-9.0.0-r0.apk | 22.3 KiB | 2021-Jul-27 08:11 |
| lua-xml-1.1.3-r2.apk | 1.2 KiB | 2024-Sep-30 13:03 |
| lua-psl-0.3-r0.apk | 1.1 KiB | 2021-Jul-27 08:11 |
| lua-lut-1.2.1-r0.apk | 88.7 KiB | 2021-Jul-27 08:11 |
| lua-lupa-1.0-r0.apk | 19.6 KiB | 2022-Jan-14 09:21 |
| lua-luastatic-0.0.12-r1.apk | 1.2 KiB | 2022-Oct-25 13:04 |
| lua-linenoise-0.9-r1.apk | 1.2 KiB | 2021-Jul-27 08:11 |
| lua-libmodbus-doc-0.6.1-r0.apk | 19.1 KiB | 2021-Jul-27 08:11 |
| lua-libmodbus-0.6.1-r0.apk | 1.2 KiB | 2021-Jul-27 08:11 |
| lua-lcurses-9.0.0-r0.apk | 1.2 KiB | 2021-Jul-27 08:11 |
| lua-inet-0.2.0-r1.apk | 8.9 KiB | 2024-Jul-10 03:12 |
| lua-fn-0.1.0-r0.apk | 3.1 KiB | 2022-Oct-07 06:49 |
| lua-editorconfig-0.3.0-r0.apk | 1.2 KiB | 2021-Jul-27 08:11 |
| lspmux-doc-0.3.0-r0.apk | 6.4 KiB | 2025-Nov-06 10:52 |
| lspmux-0.3.0-r0.apk | 1006.4 KiB | 2025-Nov-06 10:52 |
| lsix-1.8.2-r0.apk | 6.3 KiB | 2023-Dec-23 08:03 |
| lshell-pyc-0.9.18-r12.apk | 34.8 KiB | 2025-Sep-26 04:02 |
| lshell-doc-0.9.18-r12.apk | 24.8 KiB | 2025-Sep-26 04:02 |
| lshell-0.9.18-r12.apk | 36.0 KiB | 2025-Sep-26 04:02 |
| lsdvd-doc-0.17-r0.apk | 2.2 KiB | 2023-Jan-31 21:12 |
| lsdvd-0.17-r0.apk | 12.8 KiB | 2023-Jan-31 21:12 |
| lrcalc-libs-2.1-r1.apk | 25.6 KiB | 2023-May-21 12:09 |
| lrcalc-dev-2.1-r1.apk | 11.1 KiB | 2023-May-21 12:09 |
| lrcalc-2.1-r1.apk | 11.0 KiB | 2023-May-21 12:09 |
| lout-doc-3.42.2-r0.apk | 452.3 KiB | 2023-Jun-12 21:36 |
| lout-3.42.2-r0.apk | 1.4 MiB | 2023-Jun-12 21:36 |
| lotide-openrc-0.15.0-r0.apk | 2.9 KiB | 2024-Mar-29 02:20 |
| lotide-0.15.0-r0.apk | 3.4 MiB | 2024-Mar-29 02:20 |
| lomiri-url-dispatcher-lang-0.1.4-r0.apk | 25.6 KiB | 2025-May-10 14:49 |
| lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3.1 KiB | 2025-May-10 14:49 |
| lomiri-url-dispatcher-0.1.4-r0.apk | 37.5 KiB | 2025-May-10 14:49 |
| lomiri-ui-toolkit-lang-1.3.5110-r5.apk | 96.2 KiB | 2025-Dec-24 10:53 |
| lomiri-ui-toolkit-dev-1.3.5110-r5.apk | 167.5 KiB | 2025-Dec-24 10:53 |
| lomiri-ui-toolkit-1.3.5110-r5.apk | 1.3 MiB | 2025-Dec-24 10:53 |
| lomiri-ui-extras-lang-0.8.0-r0.apk | 52.0 KiB | 2025-Dec-24 10:53 |
| lomiri-ui-extras-0.8.0-r0.apk | 255.3 KiB | 2025-Dec-24 10:53 |
| lomiri-trust-store-lang-2.0.2-r14.apk | 27.5 KiB | 2025-Dec-24 10:53 |
| lomiri-trust-store-dev-2.0.2-r14.apk | 8.9 KiB | 2025-Dec-24 10:53 |
| lomiri-trust-store-2.0.2-r14.apk | 973.0 KiB | 2025-Dec-24 10:53 |
| lomiri-thumbnailer-doc-3.1.0-r0.apk | 123.4 KiB | 2025-Dec-24 10:53 |
| lomiri-thumbnailer-dev-3.1.0-r0.apk | 4.8 KiB | 2025-Dec-24 10:53 |
| lomiri-thumbnailer-3.1.0-r0.apk | 221.0 KiB | 2025-Dec-24 10:53 |
| lomiri-terminal-app-lang-2.0.5-r0.apk | 91.2 KiB | 2025-May-10 14:49 |
| lomiri-terminal-app-doc-2.0.5-r0.apk | 2.4 KiB | 2025-May-10 14:49 |
| lomiri-terminal-app-2.0.5-r0.apk | 65.5 KiB | 2025-May-10 14:49 |
| lomiri-telephony-service-lang-0.6.2-r1.apk | 108.3 KiB | 2025-Dec-24 10:53 |
| lomiri-telephony-service-0.6.2-r1.apk | 1.0 MiB | 2025-Dec-24 10:53 |
| lomiri-sounds-25.01-r0.apk | 18.0 MiB | 2025-Aug-20 01:25 |
| lomiri-settings-components-lang-1.1.3-r0.apk | 107.4 KiB | 2025-Oct-27 06:08 |
| lomiri-settings-components-1.1.3-r0.apk | 219.0 KiB | 2025-Oct-27 06:08 |
| lomiri-schemas-0.1.9-r0.apk | 10.2 KiB | 2025-Oct-01 17:04 |
| lomiri-notifications-1.3.1-r0.apk | 97.0 KiB | 2025-Jan-10 15:31 |
| lomiri-location-service-systemd-3.3.0-r5.apk | 1.9 KiB | 2025-Nov-17 14:57 |
| lomiri-location-service-lang-3.3.0-r5.apk | 25.5 KiB | 2025-Nov-17 14:57 |
| lomiri-location-service-doc-3.3.0-r5.apk | 2.6 KiB | 2025-Nov-17 14:57 |
| lomiri-location-service-dev-3.3.0-r5.apk | 29.6 KiB | 2025-Nov-17 14:57 |
| lomiri-location-service-3.3.0-r5.apk | 2.4 MiB | 2025-Nov-17 14:57 |
| lomiri-libusermetrics-lang-1.4.0-r0.apk | 44.8 KiB | 2025-Dec-24 10:53 |
| lomiri-libusermetrics-doc-1.4.0-r0.apk | 227.4 KiB | 2025-Dec-24 10:53 |
| lomiri-libusermetrics-dev-1.4.0-r0.apk | 7.6 KiB | 2025-Dec-24 10:53 |
| lomiri-libusermetrics-1.4.0-r0.apk | 217.1 KiB | 2025-Dec-24 10:53 |
| lomiri-indicator-location-systemd-25.4.22-r1.apk | 1.5 KiB | 2025-Nov-17 14:57 |
| lomiri-indicator-location-lang-25.4.22-r1.apk | 27.0 KiB | 2025-Nov-17 14:57 |
| lomiri-indicator-location-25.4.22-r1.apk | 21.5 KiB | 2025-Nov-17 14:57 |
| lomiri-history-service-dev-0.6-r20.apk | 10.9 KiB | 2025-Dec-24 10:53 |
| lomiri-history-service-0.6-r20.apk | 353.2 KiB | 2025-Dec-24 10:53 |
| lomiri-gallery-app-lang-3.0.2-r2.apk | 106.0 KiB | 2025-Aug-19 23:17 |
| lomiri-gallery-app-3.0.2-r2.apk | 3.7 MiB | 2025-Aug-19 23:17 |
| lomiri-filemanager-app-lang-1.1.4-r0.apk | 179.4 KiB | 2025-Aug-20 01:25 |
| lomiri-filemanager-app-1.1.4-r0.apk | 337.7 KiB | 2025-Aug-20 01:25 |
| lomiri-download-manager-lang-0.3.0-r0.apk | 29.9 KiB | 2025-Dec-24 10:53 |
| lomiri-download-manager-doc-0.3.0-r0.apk | 3.4 MiB | 2025-Dec-24 10:53 |
| lomiri-download-manager-dev-0.3.0-r0.apk | 16.6 KiB | 2025-Dec-24 10:53 |
| lomiri-download-manager-0.3.0-r0.apk | 584.1 KiB | 2025-Dec-24 10:53 |
| lomiri-content-hub-lang-2.2.1-r0.apk | 47.2 KiB | 2025-Dec-24 10:53 |
| lomiri-content-hub-doc-2.2.1-r0.apk | 1.4 MiB | 2025-Dec-24 10:53 |
| lomiri-content-hub-dev-2.2.1-r0.apk | 10.9 KiB | 2025-Dec-24 10:53 |
| lomiri-content-hub-2.2.1-r0.apk | 281.6 KiB | 2025-Dec-24 10:53 |
| lomiri-clock-app-lang-4.1.1-r0.apk | 455.7 KiB | 2025-May-10 14:49 |
| lomiri-clock-app-4.1.1-r0.apk | 224.4 KiB | 2025-May-10 14:49 |
| lomiri-calculator-app-lang-4.1.0-r0.apk | 41.2 KiB | 2025-Aug-20 01:25 |
| lomiri-calculator-app-4.1.0-r0.apk | 381.5 KiB | 2025-Aug-20 01:25 |
| lomiri-app-launch-dev-0.1.12-r5.apk | 19.8 KiB | 2025-Dec-24 10:53 |
| lomiri-app-launch-0.1.12-r5.apk | 336.9 KiB | 2025-Dec-24 10:53 |
| lomiri-api-dev-0.2.3-r0.apk | 31.9 KiB | 2025-Oct-27 06:08 |
| lomiri-api-0.2.3-r0.apk | 32.3 KiB | 2025-Oct-27 06:08 |
| lomiri-action-api-dev-1.2.1-r0.apk | 4.7 KiB | 2025-Oct-27 06:08 |
| lomiri-action-api-1.2.1-r0.apk | 78.6 KiB | 2025-Oct-27 06:08 |
| lolcat-1.4-r0.apk | 8.8 KiB | 2023-Jun-15 03:54 |
| lol-html-dev-1.1.1-r1.apk | 6.3 KiB | 2024-Jul-02 12:37 |
| lol-html-1.1.1-r1.apk | 434.8 KiB | 2024-Jul-02 12:37 |
| logtop-libs-0.7-r1.apk | 14.2 KiB | 2025-Aug-10 16:56 |
| logtop-doc-0.7-r1.apk | 2.6 KiB | 2025-Aug-10 16:56 |
| logtop-0.7-r1.apk | 13.2 KiB | 2025-Aug-10 16:56 |
| logc-libs-dev-0.1.0-r0.apk | 6.1 KiB | 2023-Dec-14 16:55 |
| logc-libs-0.1.0-r0.apk | 1.2 KiB | 2023-Dec-14 16:55 |
| logc-libevent-0.1.0-r0.apk | 3.1 KiB | 2023-Dec-14 16:55 |
| logc-dev-0.5.0-r1.apk | 8.3 KiB | 2025-Jun-13 14:29 |
| logc-czmq-0.1.0-r0.apk | 3.7 KiB | 2023-Dec-14 16:55 |
| logc-config-0.5.0-r1.apk | 4.6 KiB | 2025-Jun-13 14:29 |
| logc-argp-0.5.0-r1.apk | 14.0 KiB | 2025-Jun-13 14:29 |
| logc-0.5.0-r1.apk | 7.7 KiB | 2025-Jun-13 14:29 |
| log4cxx-dev-1.1.0-r3.apk | 134.9 KiB | 2025-Feb-17 12:15 |
| log4cxx-1.1.0-r3.apk | 528.5 KiB | 2025-Feb-17 12:15 |
| log4cpp-dev-1.1.4-r1.apk | 38.6 KiB | 2023-May-21 12:09 |
| log4cpp-1.1.4-r1.apk | 69.6 KiB | 2023-May-21 12:09 |
| lockrun-1.1.3-r1.apk | 4.6 KiB | 2022-Oct-25 13:04 |
| llvm-next-test-utils-22.0.0_pre20251108-r0.apk | 599.2 KiB | 2025-Nov-09 12:13 |
| llvm-next-static-22.0.0_pre20251108-r0.apk | 87.4 MiB | 2025-Nov-09 12:13 |
| llvm-next-runtime-22.0.0_pre20251108-r0.apk | 518.6 KiB | 2025-Nov-09 12:13 |
| llvm-next-polly-22.0.0_pre20251108-r0.apk | 3.6 MiB | 2025-Nov-09 12:13 |
| llvm-next-openmp-dev-22.0.0_pre20251108-r0.apk | 138.4 KiB | 2025-Nov-09 12:13 |
| llvm-next-openmp-22.0.0_pre20251108-r0.apk | 523.1 KiB | 2025-Nov-09 12:13 |
| llvm-next-offload-dev-22.0.0_pre20251108-r0.apk | 382.3 KiB | 2025-Nov-09 12:13 |
| llvm-next-offload-22.0.0_pre20251108-r0.apk | 167.1 KiB | 2025-Nov-09 12:13 |
| llvm-next-lit-pyc-22.0.0_pre20251108-r0.apk | 161.9 KiB | 2025-Nov-09 12:13 |
| llvm-next-lit-22.0.0_pre20251108-r0.apk | 95.6 KiB | 2025-Nov-09 12:13 |
| llvm-next-linker-tools-22.0.0_pre20251108-r0.apk | 1.3 MiB | 2025-Nov-09 12:13 |
| llvm-next-libunwind-static-22.0.0_pre20251108-r0..> | 43.2 KiB | 2025-Nov-09 12:13 |
| llvm-next-libunwind-dev-22.0.0_pre20251108-r0.apk | 20.5 KiB | 2025-Nov-09 12:13 |
| llvm-next-libunwind-22.0.0_pre20251108-r0.apk | 19.0 KiB | 2025-Nov-09 12:13 |
| llvm-next-libs-22.0.0_pre20251108-r0.apk | 32.6 MiB | 2025-Nov-09 12:13 |
| llvm-next-libgcc-dev-22.0.0_pre20251108-r0.apk | 2.2 KiB | 2025-Nov-09 12:13 |
| llvm-next-libgcc-22.0.0_pre20251108-r0.apk | 54.9 KiB | 2025-Nov-09 12:13 |
| llvm-next-libc++-static-22.0.0_pre20251108-r0.apk | 996.0 KiB | 2025-Nov-09 12:13 |
| llvm-next-libc++-dev-22.0.0_pre20251108-r0.apk | 1.8 MiB | 2025-Nov-09 12:13 |
| llvm-next-libc++-22.0.0_pre20251108-r0.apk | 380.0 KiB | 2025-Nov-09 12:13 |
| llvm-next-gtest-22.0.0_pre20251108-r0.apk | 578.7 KiB | 2025-Nov-09 12:13 |
| llvm-next-dev-22.0.0_pre20251108-r0.apk | 9.1 MiB | 2025-Nov-09 12:13 |
| llvm-next-22.0.0_pre20251108-r0.apk | 22.3 MiB | 2025-Nov-09 12:13 |
| llmnrd-openrc-0.7-r1.apk | 1.6 KiB | 2022-Oct-25 13:04 |
| llmnrd-doc-0.7-r1.apk | 2.8 KiB | 2022-Oct-25 13:04 |
| llmnrd-0.7-r1.apk | 14.3 KiB | 2022-Oct-25 13:04 |
| lldb-next-pyc-22.0.0_pre20251108-r0.apk | 313.8 KiB | 2025-Nov-09 12:13 |
| lldb-next-dev-22.0.0_pre20251108-r0.apk | 799.7 KiB | 2025-Nov-09 12:13 |
| lldb-next-22.0.0_pre20251108-r0.apk | 6.7 MiB | 2025-Nov-09 12:13 |
| lld-next-libs-22.0.0_pre20251108-r0.apk | 2.0 MiB | 2025-Nov-09 12:13 |
| lld-next-dev-22.0.0_pre20251108-r0.apk | 18.3 KiB | 2025-Nov-09 12:13 |
| lld-next-22.0.0_pre20251108-r0.apk | 7.8 KiB | 2025-Nov-09 12:13 |
| lizardfs-metalogger-openrc-3.13.0-r17.apk | 1.4 KiB | 2025-Jun-19 14:40 |
| lizardfs-metalogger-3.13.0-r17.apk | 133.5 KiB | 2025-Jun-19 14:40 |
| lizardfs-master-openrc-3.13.0-r17.apk | 1.4 KiB | 2025-Jun-19 14:40 |
| lizardfs-master-3.13.0-r17.apk | 886.0 KiB | 2025-Jun-19 14:40 |
| lizardfs-doc-3.13.0-r17.apk | 11.2 KiB | 2025-Jun-19 14:40 |
| lizardfs-client-3.13.0-r17.apk | 1.1 MiB | 2025-Jun-19 14:40 |
| lizardfs-chunkserver-openrc-3.13.0-r17.apk | 1.4 KiB | 2025-Jun-19 14:40 |
| lizardfs-chunkserver-3.13.0-r17.apk | 328.0 KiB | 2025-Jun-19 14:40 |
| lizardfs-cgiserv-openrc-3.13.0-r17.apk | 1.7 KiB | 2025-Jun-19 14:40 |
| lizardfs-cgiserv-3.13.0-r17.apk | 7.1 KiB | 2025-Jun-19 14:40 |
| lizardfs-cgi-3.13.0-r17.apk | 31.2 KiB | 2025-Jun-19 14:40 |
| lizardfs-bash-completion-3.13.0-r17.apk | 1.6 KiB | 2025-Jun-19 14:40 |
| lizardfs-3.13.0-r17.apk | 109.6 KiB | 2025-Jun-19 14:40 |
| litterbox-doc-1.9-r2.apk | 6.9 KiB | 2025-Sep-26 04:02 |
| litterbox-1.9-r2.apk | 32.6 KiB | 2025-Sep-26 04:02 |
| litehtml-static-0.9-r2.apk | 1.0 MiB | 2025-Feb-17 12:15 |
| litehtml-dev-0.9-r2.apk | 41.4 KiB | 2025-Feb-17 12:15 |
| litehtml-0.9-r2.apk | 316.5 KiB | 2025-Feb-17 12:15 |
| linuxptp-tz2alt-4.4-r0.apk | 20.6 KiB | 2024-Nov-17 18:01 |
| linuxptp-ts2phc-4.4-r0.apk | 37.7 KiB | 2024-Nov-17 18:01 |
| linuxptp-timemaster-4.4-r0.apk | 15.5 KiB | 2024-Nov-17 18:01 |
| linuxptp-ptp4l-4.4-r0.apk | 81.9 KiB | 2024-Nov-17 18:01 |
| linuxptp-pmc-4.4-r0.apk | 39.2 KiB | 2024-Nov-17 18:01 |
| linuxptp-phc_ctl-4.4-r0.apk | 9.6 KiB | 2024-Nov-17 18:01 |
| linuxptp-phc2sys-4.4-r0.apk | 38.5 KiB | 2024-Nov-17 18:01 |
| linuxptp-nsm-4.4-r0.apk | 34.9 KiB | 2024-Nov-17 18:01 |
| linuxptp-hwstamp_ctl-4.4-r0.apk | 4.1 KiB | 2024-Nov-17 18:01 |
| linuxptp-doc-4.4-r0.apk | 38.2 KiB | 2024-Nov-17 18:01 |
| linuxptp-4.4-r0.apk | 982 B | 2024-Nov-17 18:01 |
| linuxkit-doc-1.8.2-r1.apk | 10.0 KiB | 2025-Dec-24 10:53 |
| linuxkit-1.8.2-r1.apk | 13.3 MiB | 2025-Dec-24 10:53 |
| linux-timemachine-1.3.2-r0.apk | 4.8 KiB | 2022-Nov-20 21:09 |
| linux-starfive-dev-6.18.2-r0.apk | 13.2 MiB | 2025-Dec-24 10:53 |
| linux-starfive-6.18.2-r0.apk | 19.0 MiB | 2025-Dec-24 10:53 |
| linux-spacemit-doc-6.6.53-r1.apk | 12.1 MiB | 2024-Nov-16 07:53 |
| linux-spacemit-dev-6.6.53-r1.apk | 13.8 MiB | 2024-Nov-16 07:53 |
| linux-spacemit-6.6.53-r1.apk | 21.4 MiB | 2024-Nov-16 07:53 |
| linux-sophgo-doc-6.12.7-r0.apk | 12.9 MiB | 2025-Jan-01 14:19 |
| linux-sophgo-dev-6.12.7-r0.apk | 15.0 MiB | 2025-Jan-01 14:19 |
| linux-sophgo-6.12.7-r0.apk | 90.5 MiB | 2025-Jan-01 14:19 |
| linux-p550-doc-6.6.77-r0.apk | 12.1 MiB | 2025-Jul-23 15:01 |
| linux-p550-dev-6.6.77-r0.apk | 14.2 MiB | 2025-Jul-23 15:01 |
| linux-p550-6.6.77-r0.apk | 59.2 MiB | 2025-Jul-23 15:01 |
| linux-jh7100-doc-6.16.4-r0.apk | 14.0 MiB | 2025-Aug-30 18:30 |
| linux-jh7100-dev-6.16.4-r0.apk | 14.4 MiB | 2025-Aug-30 18:30 |
| linux-jh7100-6.16.4-r0.apk | 14.7 MiB | 2025-Aug-30 18:30 |
| linux-gpib-udev-4.3.7-r0.apk | 2.4 KiB | 2025-Dec-24 10:53 |
| linux-gpib-doc-4.3.7-r0.apk | 326.1 KiB | 2025-Dec-24 10:53 |
| linux-gpib-dev-4.3.7-r0.apk | 7.4 KiB | 2025-Dec-24 10:53 |
| linux-gpib-4.3.7-r0.apk | 61.2 KiB | 2025-Dec-24 10:53 |
| linux-eswin-doc-6.16.4-r0.apk | 14.0 MiB | 2025-Sep-02 18:28 |
| linux-eswin-dev-6.16.4-r0.apk | 15.4 MiB | 2025-Sep-02 18:28 |
| linux-eswin-6.16.4-r0.apk | 57.7 MiB | 2025-Sep-02 18:28 |
| linux-apfs-rw-src-0.3.8-r0.apk | 196.8 KiB | 2024-Mar-15 05:36 |
| linphone-libs-5.3.38-r0.apk | 2.9 MiB | 2025-May-15 20:19 |
| linphone-dev-5.3.38-r0.apk | 244.9 KiB | 2025-May-15 20:19 |
| linphone-5.3.38-r0.apk | 9.0 MiB | 2025-May-15 20:19 |
| linkquisition-1.6.1-r10.apk | 12.2 MiB | 2025-Dec-24 10:53 |
| linkchecker-pyc-10.6.0-r0.apk | 253.4 KiB | 2025-Aug-10 20:15 |
| linkchecker-doc-10.6.0-r0.apk | 38.8 KiB | 2025-Aug-10 20:15 |
| linkchecker-10.6.0-r0.apk | 180.8 KiB | 2025-Aug-10 20:15 |
| limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-Sep-04 16:13 |
| limnoria-doc-20240828-r0.apk | 8.1 KiB | 2024-Sep-04 16:13 |
| limnoria-20240828-r0.apk | 1.1 MiB | 2024-Sep-04 16:13 |
| licenseheaders-pyc-0.8.8-r4.apk | 18.1 KiB | 2024-Aug-07 01:50 |
| licenseheaders-0.8.8-r4.apk | 17.6 KiB | 2024-Aug-07 01:50 |
| libzvbi-static-0.2.44-r0.apk | 494.3 KiB | 2025-Mar-11 22:14 |
| libzvbi-dev-0.2.44-r0.apk | 14.0 KiB | 2025-Mar-11 22:14 |
| libzvbi-0.2.44-r0.apk | 229.5 KiB | 2025-Mar-11 22:14 |
| libzrtpcpp-dev-4.7.0-r0.apk | 37.6 KiB | 2025-Jan-04 21:57 |
| libzrtpcpp-4.7.0-r0.apk | 170.6 KiB | 2025-Jan-04 21:57 |
| libxo-doc-1.7.5-r0.apk | 62.6 KiB | 2025-Jan-12 23:03 |
| libxo-dev-1.7.5-r0.apk | 129.6 KiB | 2025-Jan-12 23:03 |
| libxo-1.7.5-r0.apk | 178.4 KiB | 2025-Jan-12 23:03 |
| libxml++-dev-5.4.0-r0.apk | 29.1 KiB | 2025-Feb-17 12:15 |
| libxml++-5.4.0-r0.apk | 63.8 KiB | 2025-Feb-17 12:15 |
| libwmiclient-dev-1.3.16-r5.apk | 1.4 KiB | 2024-Sep-30 19:13 |
| libwmiclient-1.3.16-r5.apk | 1.2 KiB | 2024-Sep-30 19:13 |
| libwhich-1.2.0-r0.apk | 3.6 KiB | 2022-Nov-29 06:40 |
| libwbxml-doc-0.11.8-r0.apk | 28.3 KiB | 2022-Mar-19 09:44 |
| libwbxml-dev-0.11.8-r0.apk | 9.0 KiB | 2022-Mar-19 09:44 |
| libwbxml-0.11.8-r0.apk | 62.5 KiB | 2022-Mar-19 09:44 |
| libwasmtime-static-39.0.1-r0.apk | 7.8 MiB | 2025-Dec-24 10:53 |
| libwasmtime-39.0.1-r0.apk | 4.3 MiB | 2025-Dec-24 10:53 |
| libvoikko-doc-4.3.2-r1.apk | 5.4 KiB | 2024-Apr-30 01:06 |
| libvoikko-dev-4.3.2-r1.apk | 9.6 KiB | 2024-Apr-30 01:06 |
| libvoikko-4.3.2-r1.apk | 124.4 KiB | 2024-Apr-30 01:06 |
| libvmaf-dev-3.0.0-r0.apk | 271.5 KiB | 2024-Feb-05 21:19 |
| libvmaf-3.0.0-r0.apk | 328.9 KiB | 2024-Feb-05 21:19 |
| libvisio2svg-utils-0.5.5-r3.apk | 115.3 KiB | 2023-May-01 21:45 |
| libvisio2svg-dev-0.5.5-r3.apk | 2.7 KiB | 2023-May-01 21:45 |
| libvisio2svg-0.5.5-r3.apk | 13.6 KiB | 2023-May-01 21:45 |
| libvdpau-va-gl-0.4.2-r0.apk | 57.5 KiB | 2021-Jul-27 08:11 |
| libvalkey-tls-0.2.1-r0.apk | 6.1 KiB | 2025-Nov-17 12:12 |
| libvalkey-dev-0.2.1-r0.apk | 905.3 KiB | 2025-Nov-17 12:12 |
| libvalkey-0.2.1-r0.apk | 62.9 KiB | 2025-Nov-17 12:12 |
| libupstart-2.0.3-r5.apk | 58.5 KiB | 2024-Sep-09 10:26 |
| libuninameslist-doc-20230916-r0.apk | 1.8 KiB | 2023-Sep-18 04:56 |
| libuninameslist-dev-20230916-r0.apk | 3.2 KiB | 2023-Sep-18 04:56 |
| libuninameslist-20230916-r0.apk | 463.5 KiB | 2023-Sep-18 04:56 |
| libuecc-dev-7-r4.apk | 4.4 KiB | 2025-Mar-03 16:07 |
| libuecc-7-r4.apk | 10.1 KiB | 2025-Mar-03 16:07 |
| libucl-doc-0.9.0-r0.apk | 8.6 KiB | 2024-Feb-02 21:16 |
| libucl-dev-0.9.0-r0.apk | 140.0 KiB | 2024-Feb-02 21:16 |
| libucl-0.9.0-r0.apk | 53.7 KiB | 2024-Feb-02 21:16 |
| libtsm-dev-4.1.0-r0.apk | 10.7 KiB | 2025-Jul-08 06:49 |
| libtsm-4.1.0-r0.apk | 26.4 KiB | 2025-Jul-08 06:49 |
| libtins-doc-4.5-r2.apk | 2.1 KiB | 2025-Oct-15 22:29 |
| libtins-dev-4.5-r2.apk | 137.5 KiB | 2025-Oct-15 22:29 |
| libtins-4.5-r2.apk | 315.5 KiB | 2025-Oct-15 22:29 |
| libtcmu-dev-1.6.0-r6.apk | 1.3 KiB | 2024-Oct-13 18:32 |
| libtcmu-1.6.0-r6.apk | 37.5 KiB | 2024-Oct-13 18:32 |
| libtatsu-dev-1.0.5-r0.apk | 37.4 KiB | 2025-Oct-06 17:35 |
| libtatsu-1.0.5-r0.apk | 15.5 KiB | 2025-Oct-06 17:35 |
| libstirshaken-tools-0_git20240208-r4.apk | 163.7 KiB | 2025-Apr-28 19:58 |
| libstirshaken-dev-0_git20240208-r4.apk | 136.6 KiB | 2025-Apr-28 19:58 |
| libstirshaken-0_git20240208-r4.apk | 53.0 KiB | 2025-Apr-28 19:58 |
| libspatialindex-dev-2.1.0-r1.apk | 21.2 KiB | 2025-Nov-10 09:10 |
| libspatialindex-2.1.0-r1.apk | 309.2 KiB | 2025-Nov-10 09:10 |
| libsirocco-dev-2.1.1-r0.apk | 1.9 KiB | 2025-Aug-31 19:52 |
| libsirocco-2.1.1-r0.apk | 63.8 KiB | 2025-Aug-31 19:52 |
| libsimplebluez-0.10.3-r0.apk | 148.6 KiB | 2025-Jul-16 12:25 |
| libsimpleble-c-0.10.3-r0.apk | 15.0 KiB | 2025-Jul-16 12:25 |
| libsimpleble-0.10.3-r0.apk | 204.9 KiB | 2025-Jul-16 12:25 |
| libsigrokdecode-dev-0.5.3-r4.apk | 63.1 KiB | 2024-Apr-30 01:06 |
| libsigrokdecode-0.5.3-r4.apk | 334.4 KiB | 2024-Apr-30 01:06 |
| libsigrok-dev-0.5.2-r3.apk | 30.4 KiB | 2024-Sep-30 12:42 |
| libsigrok-0.5.2-r3.apk | 497.8 KiB | 2024-Sep-30 12:42 |
| libshadowsocks-libev-3.3.5-r4.apk | 48.8 KiB | 2024-Apr-30 01:06 |
| libserialport-dev-0.1.1-r1.apk | 61.5 KiB | 2022-Feb-07 07:11 |
| libserialport-0.1.1-r1.apk | 17.9 KiB | 2022-Feb-07 07:11 |
| libsemigroups-static-2.7.3-r1.apk | 2.9 MiB | 2024-Dec-14 22:22 |
| libsemigroups-dev-2.7.3-r1.apk | 334.2 KiB | 2024-Dec-14 22:22 |
| libsemigroups-2.7.3-r1.apk | 692.8 KiB | 2024-Dec-14 22:22 |
| libsemanage-doc-3.6-r1.apk | 22.7 KiB | 2024-Sep-28 15:48 |
| libsemanage-dev-3.6-r1.apk | 242.7 KiB | 2024-Sep-28 15:48 |
| libsemanage-3.6-r1.apk | 94.1 KiB | 2024-Sep-28 15:48 |
| libsds-dev-2.0.0-r1.apk | 3.8 KiB | 2021-Jul-27 08:11 |
| libsds-2.0.0-r1.apk | 9.1 KiB | 2021-Jul-27 08:11 |
| libsbsms-dev-2.3.0-r0.apk | 164.1 KiB | 2021-Sep-07 10:50 |
| libsbsms-2.3.0-r0.apk | 105.0 KiB | 2021-Sep-07 10:50 |
| libretro-xrick-0_git20220331-r0.apk | 109.1 KiB | 2022-Apr-29 10:40 |
| libretro-tyrquake-0_git20220409-r0.apk | 355.2 KiB | 2022-Apr-29 10:40 |
| libretro-theodore-3.1-r0.apk | 866.1 KiB | 2022-Apr-29 10:40 |
| libretro-snes9x-0_git20240819-r0.apk | 815.1 KiB | 2024-Sep-27 00:36 |
| libretro-scummvm-0_git20210325-r0.apk | 19.4 MiB | 2021-Jul-27 08:11 |
| libretro-ppsspp-0_git20210516-r15.apk | 2.3 MiB | 2025-Apr-12 01:36 |
| libretro-pocketcdg-0_git20220327-r0.apk | 79.8 KiB | 2022-Apr-29 10:40 |
| libretro-pcsx-rearmed-0_git20220409-r0.apk | 483.7 KiB | 2022-Apr-29 10:40 |
| libretro-opera-0_git20211214-r0.apk | 160.7 KiB | 2022-Apr-29 10:40 |
| libretro-openlara-0_git20210121-r0.apk | 495.1 KiB | 2022-Apr-29 10:40 |
| libretro-nxengine-0_git20220301-r0.apk | 282.4 KiB | 2022-Apr-29 10:40 |
| libretro-neocd-0_git20220325-r1.apk | 459.3 KiB | 2024-Jul-12 12:38 |
| libretro-mu-0_git20220317-r0.apk | 149.6 KiB | 2022-Apr-29 10:40 |
| libretro-mame2003-0_git20240904-r0.apk | 7.8 MiB | 2024-Sep-27 00:33 |
| libretro-mame2000-0_git20240701-r0.apk | 3.2 MiB | 2024-Sep-23 21:59 |
| libretro-gw-0_git20220410-r0.apk | 161.4 KiB | 2022-Apr-29 10:40 |
| libretro-gong-0_git20220319-r0.apk | 8.5 KiB | 2022-Apr-29 10:40 |
| libretro-gme-0_git20240628-r0.apk | 192.6 KiB | 2024-Sep-23 21:59 |
| libretro-genesis-plus-gx-0_git20230503-r0.apk | 958.6 KiB | 2023-May-05 08:59 |
| libretro-fuse-0_git20220417-r0.apk | 856.8 KiB | 2022-Apr-29 10:40 |
| libretro-frodo-0_git20221221-r0.apk | 153.8 KiB | 2022-Apr-29 10:40 |
| libretro-freeintv-0_git20220319-r0.apk | 30.8 KiB | 2022-Apr-29 10:40 |
| libretro-fbneo-0_git20220416-r0.apk | 11.1 MiB | 2022-Apr-29 10:40 |
| libretro-dinothawr-0_git20220401-r0.apk | 122.6 KiB | 2022-Apr-29 10:40 |
| libretro-daphne-0_git20210108-r2.apk | 654.6 KiB | 2024-Oct-01 05:41 |
| libretro-crocods-0_git20210314-r1.apk | 257.9 KiB | 2021-Jul-27 08:11 |
| libretro-cap32-0_git20220419-r0.apk | 289.9 KiB | 2022-Apr-29 10:40 |
| libretro-cannonball-0_git20220309-r6.apk | 276.5 KiB | 2024-Apr-30 01:06 |
| libretro-bluemsx-0_git20240808-r0.apk | 652.4 KiB | 2024-Sep-23 22:18 |
| libretro-beetle-supergrafx-0_git20220218-r0.apk | 429.3 KiB | 2022-Apr-29 10:40 |
| libretro-beetle-saturn-0_git20220417-r0.apk | 1.8 MiB | 2022-Apr-29 10:40 |
| libretro-beetle-pcfx-0_git20220409-r0.apk | 306.2 KiB | 2022-Apr-29 10:40 |
| libretro-beetle-pce-fast-0_git20220205-r0.apk | 428.0 KiB | 2022-Apr-29 10:40 |
| libretro-atari800-0_git20240924-r0.apk | 281.2 KiB | 2024-Sep-27 00:36 |
| libresprite-doc-1.2-r0.apk | 14.5 KiB | 2025-Apr-15 14:08 |
| libresprite-1.2-r0.apk | 14.8 MiB | 2025-Apr-15 14:08 |
| libreoffice-voikko-5.0_git20200127-r0.apk | 45.1 KiB | 2023-Jun-13 17:35 |
| libre-dev-4.1.0-r0.apk | 759.9 KiB | 2025-Oct-04 05:28 |
| libre-4.1.0-r0.apk | 291.3 KiB | 2025-Oct-04 05:28 |
| libqtdbustest-0.4.0-r0.apk | 29.9 KiB | 2025-Sep-26 04:02 |
| libqtdbusmock-0.9.1-r2.apk | 67.6 KiB | 2025-Feb-17 12:15 |
| libqd-static-2.3.24-r0.apk | 490.1 KiB | 2024-Jan-08 23:07 |
| libqd-doc-2.3.24-r0.apk | 182.2 KiB | 2024-Jan-08 23:07 |
| libqd-dev-2.3.24-r0.apk | 57.6 KiB | 2024-Jan-08 23:07 |
| libqd-2.3.24-r0.apk | 153.5 KiB | 2024-Jan-08 23:07 |
| liboggz-doc-1.1.1-r2.apk | 134.0 KiB | 2022-Oct-25 13:04 |
| liboggz-dev-1.1.1-r2.apk | 316.0 KiB | 2022-Oct-25 13:04 |
| liboggz-1.1.1-r2.apk | 71.7 KiB | 2022-Oct-25 13:04 |
| libofx-tools-0.10.9-r1.apk | 104.9 KiB | 2023-Aug-26 16:31 |
| libofx-dev-0.10.9-r1.apk | 19.3 KiB | 2023-Aug-26 16:31 |
| libofx-0.10.9-r1.apk | 65.2 KiB | 2023-Aug-26 16:31 |
| libnxml-dev-0.18.3-r0.apk | 42.2 KiB | 2021-Jul-27 08:11 |
| libnxml-0.18.3-r0.apk | 17.9 KiB | 2021-Jul-27 08:11 |
| libntl-static-11.6.0-r0.apk | 3.6 MiB | 2025-Nov-08 08:12 |
| libntl-doc-11.6.0-r0.apk | 365.3 KiB | 2025-Nov-08 08:12 |
| libntl-dev-11.6.0-r0.apk | 157.2 KiB | 2025-Nov-08 08:12 |
| libntl-11.6.0-r0.apk | 1.1 MiB | 2025-Nov-08 08:12 |
| libnih-doc-1.0.3-r7.apk | 2.4 KiB | 2024-Sep-16 15:26 |
| libnih-dev-1.0.3-r7.apk | 179.0 KiB | 2024-Sep-16 15:26 |
| libnih-1.0.3-r7.apk | 107.9 KiB | 2024-Sep-16 15:26 |
| libnfcdef-dev-1.0.1-r1.apk | 5.5 KiB | 2025-Aug-10 16:56 |
| libnfcdef-1.0.1-r1.apk | 12.9 KiB | 2025-Aug-10 16:56 |
| libnest2d-dev-0.4-r7.apk | 69.6 KiB | 2025-Feb-06 05:25 |
| libnest2d-0.4-r7.apk | 978 B | 2025-Feb-06 05:25 |
| libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2023-Dec-14 16:55 |
| libmysofa-dev-1.3.2-r0.apk | 6.8 KiB | 2023-Dec-14 16:55 |
| libmysofa-1.3.2-r0.apk | 25.9 KiB | 2023-Dec-14 16:55 |
| libmygpo-qt-dev-1.1.0-r2.apk | 11.6 KiB | 2024-May-08 13:23 |
| libmygpo-qt-1.1.0-r2.apk | 83.9 KiB | 2024-May-08 13:23 |
| libmustache-0.5.0-r1.apk | 108.9 KiB | 2022-Oct-25 13:04 |
| libmrss-dev-0.19.2-r1.apk | 52.5 KiB | 2021-Jul-27 08:11 |
| libmrss-0.19.2-r1.apk | 17.7 KiB | 2021-Jul-27 08:11 |
| libmpfi-static-1.5.4-r2.apk | 95.5 KiB | 2023-Aug-19 19:23 |
| libmpfi-doc-1.5.4-r2.apk | 18.5 KiB | 2023-Aug-19 19:23 |
| libmpfi-dev-1.5.4-r2.apk | 5.1 KiB | 2023-Aug-19 19:23 |
| libmpfi-1.5.4-r2.apk | 36.3 KiB | 2023-Aug-19 19:23 |
| libmhash-doc-0.9.9.9-r3.apk | 7.9 KiB | 2022-Oct-14 19:59 |
| libmhash-dev-0.9.9.9-r3.apk | 124.7 KiB | 2022-Oct-14 19:59 |
| libmhash-0.9.9.9-r3.apk | 102.2 KiB | 2022-Oct-14 19:59 |
| libmdf-dev-1.0.29-r0.apk | 13.6 KiB | 2024-Sep-26 21:32 |
| libmdf-1.0.29-r0.apk | 33.6 KiB | 2024-Sep-26 21:32 |
| libmdbx-doc-0.11.8-r0.apk | 8.9 KiB | 2022-Jul-04 14:24 |
| libmdbx-dev-0.11.8-r0.apk | 93.2 KiB | 2022-Jul-04 14:24 |
| libmdbx-dbg-0.11.8-r0.apk | 2.4 MiB | 2022-Jul-04 14:24 |
| libmdbx-0.11.8-r0.apk | 835.7 KiB | 2022-Jul-04 14:24 |
| libm4rie-static-20200125-r5.apk | 271.7 KiB | 2025-Jan-15 18:05 |
| libm4rie-dev-20200125-r5.apk | 24.1 KiB | 2025-Jan-15 18:05 |
| libm4rie-20200125-r5.apk | 163.2 KiB | 2025-Jan-15 18:05 |
| libm4ri-static-20240729-r2.apk | 182.5 KiB | 2025-Jan-15 18:05 |
| libm4ri-dev-20240729-r2.apk | 31.2 KiB | 2025-Jan-15 18:05 |
| libm4ri-20240729-r2.apk | 108.0 KiB | 2025-Jan-15 18:05 |
| liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.4 KiB | 2024-May-08 13:23 |
| liblastfm-qt-1.1.10_git20190823-r3.apk | 159.8 KiB | 2024-May-08 13:23 |
| libjodycode-doc-3.1.1-r0.apk | 3.4 KiB | 2024-Aug-07 01:50 |
| libjodycode-dev-3.1.1-r0.apk | 4.0 KiB | 2024-Aug-07 01:50 |
| libjodycode-3.1.1-r0.apk | 7.3 KiB | 2024-Aug-07 01:50 |
| libiscsi-utils-1.19.0-r2.apk | 84.6 KiB | 2023-May-21 12:09 |
| libiscsi-static-1.19.0-r2.apk | 133.7 KiB | 2023-May-21 12:09 |
| libiscsi-doc-1.19.0-r2.apk | 9.0 KiB | 2023-May-21 12:09 |
| libiscsi-dev-1.19.0-r2.apk | 20.2 KiB | 2023-May-21 12:09 |
| libiscsi-1.19.0-r2.apk | 60.6 KiB | 2023-May-21 12:09 |
| libirecovery-progs-1.3.0-r0.apk | 7.9 KiB | 2025-Oct-06 17:35 |
| libirecovery-dev-1.3.0-r0.apk | 3.9 KiB | 2025-Oct-06 17:35 |
| libirecovery-1.3.0-r0.apk | 26.5 KiB | 2025-Oct-06 17:35 |
| libinfnoise-0.3.3-r0.apk | 12.8 KiB | 2025-May-26 19:38 |
| libiio-tools-0.25-r2.apk | 71.2 KiB | 2024-Aug-07 01:50 |
| libiio-pyc-0.25-r2.apk | 20.7 KiB | 2024-Aug-07 01:50 |
| libiio-doc-0.25-r2.apk | 17.6 KiB | 2024-Aug-07 01:50 |
| libiio-dev-0.25-r2.apk | 13.1 KiB | 2024-Aug-07 01:50 |
| libiio-0.25-r2.apk | 52.5 KiB | 2024-Aug-07 01:50 |
| libigraph-dev-1.0.0-r0.apk | 89.5 KiB | 2025-Oct-28 02:01 |
| libigraph-1.0.0-r0.apk | 1.7 MiB | 2025-Oct-28 02:01 |
| libideviceactivation-doc-1.1.1-r5.apk | 1.9 KiB | 2024-Oct-30 22:46 |
| libideviceactivation-dev-1.1.1-r5.apk | 3.1 KiB | 2024-Oct-30 22:46 |
| libideviceactivation-1.1.1-r5.apk | 15.8 KiB | 2024-Oct-30 22:46 |
| libhwpwm-doc-0.4.4-r0.apk | 12.8 KiB | 2023-Sep-28 18:50 |
| libhwpwm-dev-0.4.4-r0.apk | 7.5 KiB | 2023-Sep-28 18:50 |
| libhwpwm-0.4.4-r0.apk | 5.1 KiB | 2023-Sep-28 18:50 |
| libhomfly-dev-1.02_p6-r1.apk | 27.9 KiB | 2024-Mar-29 11:33 |
| libhomfly-1.02_p6-r1.apk | 15.2 KiB | 2024-Mar-29 11:33 |
| libgrapheme-doc-2.0.2-r0.apk | 20.4 KiB | 2025-Jul-23 02:57 |
| libgrapheme-dev-2.0.2-r0.apk | 38.5 KiB | 2025-Jul-23 02:57 |
| libgrapheme-2.0.2-r0.apk | 24.5 KiB | 2025-Jul-23 02:57 |
| libglib-testing-doc-0.1.1-r0.apk | 24.8 KiB | 2025-May-10 01:44 |
| libglib-testing-dev-0.1.1-r0.apk | 5.3 KiB | 2025-May-10 01:44 |
| libglib-testing-0.1.1-r0.apk | 11.8 KiB | 2025-May-10 01:44 |
| libgivaro-static-4.2.0-r2.apk | 168.1 KiB | 2023-Aug-19 19:23 |
| libgivaro-dev-4.2.0-r2.apk | 244.2 KiB | 2023-Aug-19 19:23 |
| libgivaro-4.2.0-r2.apk | 77.6 KiB | 2023-Aug-19 19:23 |
| libgdcm-3.2.2-r3.apk | 2.8 MiB | 2025-Dec-24 10:53 |
| libfort-dev-0.4.2-r0.apk | 17.4 KiB | 2021-Oct-03 06:15 |
| libfort-0.4.2-r0.apk | 27.6 KiB | 2021-Oct-03 06:15 |
| libfoma-0.10.0_git20240712-r0.apk | 102.3 KiB | 2024-Sep-28 03:57 |
| libfishsound-doc-1.0.0-r1.apk | 75.3 KiB | 2021-Jul-27 08:11 |
| libfishsound-dev-1.0.0-r1.apk | 102.7 KiB | 2021-Jul-27 08:11 |
| libfishsound-1.0.0-r1.apk | 7.9 KiB | 2021-Jul-27 08:11 |
| libettercap-0.8.3.1-r3.apk | 199.5 KiB | 2024-Jul-13 03:59 |
| libetebase-dev-0.5.8-r0.apk | 10.6 KiB | 2025-Sep-28 08:08 |
| libetebase-0.5.8-r0.apk | 860.8 KiB | 2025-Sep-28 08:08 |
| liberasurecode-dev-1.6.3-r1.apk | 18.0 KiB | 2023-May-21 12:09 |
| liberasurecode-1.6.3-r1.apk | 40.6 KiB | 2023-May-21 12:09 |
| libemf2svg-utils-1.1.0-r3.apk | 15.6 KiB | 2025-Oct-06 14:28 |
| libemf2svg-1.1.0-r3.apk | 158.2 KiB | 2025-Oct-06 14:28 |
| libecap-static-1.0.1-r1.apk | 26.9 KiB | 2023-May-21 12:09 |
| libecap-dev-1.0.1-r1.apk | 11.2 KiB | 2023-May-21 12:09 |
| libecap-1.0.1-r1.apk | 13.0 KiB | 2023-May-21 12:09 |
| libeantic-dev-2.1.0-r2.apk | 17.2 KiB | 2025-Nov-26 09:16 |
| libeantic-2.1.0-r2.apk | 76.2 KiB | 2025-Nov-26 09:16 |
| libdng-utils-0.2.1-r0.apk | 5.7 KiB | 2024-Dec-27 23:33 |
| libdng-doc-0.2.1-r0.apk | 3.9 KiB | 2024-Dec-27 23:33 |
| libdng-dev-0.2.1-r0.apk | 2.9 KiB | 2024-Dec-27 23:33 |
| libdng-0.2.1-r0.apk | 11.1 KiB | 2024-Dec-27 23:33 |
| libdcmtk-3.6.9-r0.apk | 6.5 MiB | 2025-Jan-20 05:38 |
| libdbusaccess-dev-1.0.20-r1.apk | 4.7 KiB | 2025-Aug-10 16:56 |
| libdbusaccess-1.0.20-r1.apk | 16.6 KiB | 2025-Aug-10 16:56 |
| libcyaml-static-1.4.2-r0.apk | 43.4 KiB | 2024-Aug-31 21:49 |
| libcyaml-doc-1.4.2-r0.apk | 8.4 KiB | 2024-Aug-31 21:49 |
| libcyaml-dev-1.4.2-r0.apk | 12.6 KiB | 2024-Aug-31 21:49 |
| libcyaml-1.4.2-r0.apk | 20.3 KiB | 2024-Aug-31 21:49 |
| libctl-doc-4.5.1-r1.apk | 2.8 KiB | 2023-May-21 12:09 |
| libctl-dev-4.5.1-r1.apk | 38.3 KiB | 2023-May-21 12:09 |
| libctl-4.5.1-r1.apk | 96.7 KiB | 2023-May-21 12:09 |
| libcotp-dev-3.1.1-r0.apk | 2.3 KiB | 2025-Oct-04 05:28 |
| libcotp-3.1.1-r0.apk | 7.6 KiB | 2025-Oct-04 05:28 |
| libcorkipset-tools-1.1.1-r4.apk | 11.4 KiB | 2023-Dec-14 16:55 |
| libcorkipset-dev-1.1.1-r4.apk | 7.8 KiB | 2023-Dec-14 16:55 |
| libcorkipset-1.1.1-r4.apk | 13.5 KiB | 2023-Dec-14 16:55 |
| libcork-tools-0.15.0-r7.apk | 4.5 KiB | 2023-Dec-14 16:55 |
| libcork-dev-0.15.0-r7.apk | 29.7 KiB | 2023-Dec-14 16:55 |
| libcork-0.15.0-r7.apk | 35.5 KiB | 2023-Dec-14 16:55 |
| libcob4-3.2-r0.apk | 214.4 KiB | 2025-Jul-28 14:05 |
| libcli-1.10.7-r0.apk | 25.3 KiB | 2021-Jul-27 08:11 |
| libclc-next-22.0.0_pre20251108-r0.apk | 12.0 MiB | 2025-Nov-09 12:13 |
| libbsoncxx-dev-3.8.0-r0.apk | 38.4 KiB | 2023-Aug-19 19:23 |
| libbsoncxx-3.8.0-r0.apk | 44.8 KiB | 2023-Aug-19 19:23 |
| libbraiding-dev-1.3.1-r0.apk | 14.8 KiB | 2024-Nov-17 05:00 |
| libbraiding-1.3.1-r0.apk | 85.0 KiB | 2024-Nov-17 05:00 |
| libbloom-dev-2.0-r0.apk | 3.2 KiB | 2023-Jun-07 17:29 |
| libbloom-2.0-r0.apk | 7.4 KiB | 2023-Jun-07 17:29 |
| libbamf-doc-0.5.6-r1.apk | 30.8 KiB | 2023-Dec-14 16:55 |
| libbamf-dev-0.5.6-r1.apk | 6.2 KiB | 2023-Dec-14 16:55 |
| libbamf-0.5.6-r1.apk | 151.0 KiB | 2023-Dec-14 16:55 |
| libb64-doc-2.0.0.1-r0.apk | 7.8 KiB | 2024-Jun-16 11:26 |
| libb64-dev-2.0.0.1-r0.apk | 6.6 KiB | 2024-Jun-16 11:26 |
| libb64-2.0.0.1-r0.apk | 4.0 KiB | 2024-Jun-16 11:26 |
| libaudec-tools-0.3.4-r3.apk | 28.3 KiB | 2023-Jul-30 12:12 |
| libaudec-static-0.3.4-r3.apk | 46.0 KiB | 2023-Jul-30 12:12 |
| libaudec-dev-0.3.4-r3.apk | 4.0 KiB | 2023-Jul-30 12:12 |
| libaudec-0.3.4-r3.apk | 28.2 KiB | 2023-Jul-30 12:12 |
| libantlr4-dev-4.13.2-r0.apk | 1.6 MiB | 2024-Dec-12 11:09 |
| libantlr4-4.13.2-r0.apk | 424.9 KiB | 2024-Dec-12 11:09 |
| libantlr3c-dev-3.4-r3.apk | 58.1 KiB | 2023-May-21 12:09 |
| libantlr3c-3.4-r3.apk | 49.1 KiB | 2023-May-21 12:09 |
| libabigail-tools-2.8-r0.apk | 121.1 KiB | 2025-Nov-03 10:01 |
| libabigail-doc-2.8-r0.apk | 76.6 KiB | 2025-Nov-03 10:01 |
| libabigail-dev-2.8-r0.apk | 2.9 MiB | 2025-Nov-03 10:01 |
| libabigail-bash-completion-2.8-r0.apk | 2.6 KiB | 2025-Nov-03 10:01 |
| libabigail-2.8-r0.apk | 1004.8 KiB | 2025-Nov-03 10:01 |
| lgogdownloader-doc-3.16-r1.apk | 8.2 KiB | 2025-Mar-25 23:01 |
| lgogdownloader-3.16-r1.apk | 363.9 KiB | 2025-Mar-25 23:01 |
| lfm-pyc-3.1-r4.apk | 133.4 KiB | 2024-Apr-30 01:06 |
| lfm-doc-3.1-r4.apk | 2.5 KiB | 2024-Apr-30 01:06 |
| lfm-3.1-r4.apk | 87.8 KiB | 2024-Apr-30 01:06 |
| levmar-dev-2.6-r0.apk | 83.6 KiB | 2022-Apr-29 10:40 |
| leptosfmt-doc-0.1.33-r0.apk | 5.8 KiB | 2025-Mar-25 23:01 |
| leptosfmt-0.1.33-r0.apk | 1000.7 KiB | 2025-Mar-25 23:01 |
| legume-doc-1.4.2-r14.apk | 12.1 KiB | 2025-Dec-24 10:53 |
| legume-1.4.2-r14.apk | 1.5 MiB | 2025-Dec-24 10:53 |
| lefthook-doc-2.0.4-r1.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| lefthook-2.0.4-r1.apk | 5.7 MiB | 2025-Dec-24 10:53 |
| ledmon-doc-1.0.0-r0.apk | 13.8 KiB | 2024-Sep-30 05:39 |
| ledmon-1.0.0-r0.apk | 81.9 KiB | 2024-Sep-30 05:39 |
| ldc-stage0-1.41.0-r0.apk | 11.1 MiB | 2025-Jun-07 18:05 |
| ldapdomaindump-pyc-0.10.0-r0.apk | 30.1 KiB | 2025-Jul-30 21:29 |
| ldapdomaindump-0.10.0-r0.apk | 18.0 KiB | 2025-Jul-30 21:29 |
| lcalc-libs-2.1.0-r0.apk | 226.9 KiB | 2025-May-03 09:19 |
| lcalc-doc-2.1.0-r0.apk | 436.5 KiB | 2025-May-03 09:19 |
| lcalc-dev-2.1.0-r0.apk | 51.1 KiB | 2025-May-03 09:19 |
| lcalc-2.1.0-r0.apk | 155.4 KiB | 2025-May-03 09:19 |
| lbb-doc-0.10.4-r1.apk | 20.0 KiB | 2025-Dec-24 10:53 |
| lbb-0.10.4-r1.apk | 3.1 MiB | 2025-Dec-24 10:53 |
| laze-zsh-completion-0.1.38-r0.apk | 3.4 KiB | 2025-Jul-20 21:00 |
| laze-fish-completion-0.1.38-r0.apk | 3.2 KiB | 2025-Jul-20 21:00 |
| laze-doc-0.1.38-r0.apk | 3.3 KiB | 2025-Jul-20 21:00 |
| laze-bash-completion-0.1.38-r0.apk | 2.9 KiB | 2025-Jul-20 21:00 |
| laze-0.1.38-r0.apk | 1.1 MiB | 2025-Jul-20 21:00 |
| lavacli-pyc-2.4-r0.apk | 87.9 KiB | 2025-Jun-15 05:41 |
| lavacli-doc-2.4-r0.apk | 33.9 KiB | 2025-Jun-15 05:41 |
| lavacli-2.4-r0.apk | 49.1 KiB | 2025-Jun-15 05:41 |
| kubesplit-pyc-0.3.3-r1.apk | 12.7 KiB | 2024-Apr-30 01:06 |
| kubesplit-0.3.3-r1.apk | 12.4 KiB | 2024-Apr-30 01:06 |
| kubeseal-doc-0.32.1-r2.apk | 5.3 KiB | 2025-Dec-24 10:53 |
| kubeseal-0.32.1-r2.apk | 11.1 MiB | 2025-Dec-24 10:53 |
| kubepug-zsh-completion-1.7.1-r15.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| kubepug-fish-completion-1.7.1-r15.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| kubepug-bash-completion-1.7.1-r15.apk | 4.9 KiB | 2025-Dec-24 10:53 |
| kubepug-1.7.1-r15.apk | 15.9 MiB | 2025-Dec-24 10:53 |
| kubectl-oidc_login-1.34.2-r1.apk | 5.4 MiB | 2025-Dec-24 10:53 |
| kubeconform-0.7.0-r3.apk | 3.6 MiB | 2025-Dec-24 10:53 |
| kube-no-trouble-0.7.3-r10.apk | 13.1 MiB | 2025-Dec-24 10:53 |
| ktx-libs-4.3.2-r1.apk | 1.5 MiB | 2025-Jun-10 03:01 |
| ktx-dev-4.3.2-r1.apk | 28.7 KiB | 2025-Jun-10 03:01 |
| ktx-4.3.2-r1.apk | 1.2 MiB | 2025-Jun-10 03:01 |
| kronosnet-doc-1.30-r0.apk | 105.5 KiB | 2025-May-27 23:08 |
| kronosnet-dev-1.30-r0.apk | 3.9 MiB | 2025-May-27 23:08 |
| kronosnet-1.30-r0.apk | 77.2 KiB | 2025-May-27 23:08 |
| krita-plugin-gmic-3.2.4.1-r3.apk | 2.7 MiB | 2024-Mar-25 12:20 |
| kotlin-language-server-1.3.13-r0.apk | 83.3 MiB | 2025-Apr-07 08:32 |
| kontainer-1.0.1-r0.apk | 191.0 KiB | 2025-Jun-17 05:38 |
| kondo-zsh-completion-0.8-r0.apk | 2.2 KiB | 2023-Dec-20 22:50 |
| kondo-fish-completion-0.8-r0.apk | 1.8 KiB | 2023-Dec-20 22:50 |
| kondo-bash-completion-0.8-r0.apk | 1.8 KiB | 2023-Dec-20 22:50 |
| kondo-0.8-r0.apk | 648.9 KiB | 2023-Dec-20 22:50 |
| kompose-zsh-completion-1.31.2-r15.apk | 6.5 KiB | 2025-Dec-24 10:53 |
| kompose-fish-completion-1.31.2-r15.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| kompose-bash-completion-1.31.2-r15.apk | 5.3 KiB | 2025-Dec-24 10:53 |
| kompose-1.31.2-r15.apk | 7.0 MiB | 2025-Dec-24 10:53 |
| komikku-pyc-1.85.0-r0.apk | 797.3 KiB | 2025-Aug-22 08:26 |
| komikku-lang-1.85.0-r0.apk | 284.0 KiB | 2025-Aug-22 08:26 |
| komikku-1.85.0-r0.apk | 443.8 KiB | 2025-Aug-22 08:26 |
| kodaskanna-lang-0.2.2-r0.apk | 22.9 KiB | 2025-Jan-20 08:32 |
| kodaskanna-0.2.2-r0.apk | 51.7 KiB | 2025-Jan-20 08:32 |
| ko-zsh-completion-0.17.1-r10.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| ko-fish-completion-0.17.1-r10.apk | 4.0 KiB | 2025-Dec-24 10:53 |
| ko-bash-completion-0.17.1-r10.apk | 4.8 KiB | 2025-Dec-24 10:53 |
| ko-0.17.1-r10.apk | 10.1 MiB | 2025-Dec-24 10:53 |
| knxd-dev-0.14.61-r1.apk | 38.8 KiB | 2024-Dec-14 22:22 |
| knxd-0.14.61-r1.apk | 409.6 KiB | 2024-Dec-14 22:22 |
| knative-client-zsh-completion-1.19.6-r1.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| knative-client-fish-completion-1.19.6-r1.apk | 4.0 KiB | 2025-Dec-24 10:53 |
| knative-client-bash-completion-1.19.6-r1.apk | 9.9 KiB | 2025-Dec-24 10:53 |
| knative-client-1.19.6-r1.apk | 22.6 MiB | 2025-Dec-24 10:53 |
| kmscon-systemd-9.1.0-r1.apk | 2.4 KiB | 2025-Oct-30 15:37 |
| kmscon-doc-9.1.0-r1.apk | 8.1 KiB | 2025-Oct-30 15:37 |
| kmscon-9.1.0-r1.apk | 837.2 KiB | 2025-Oct-30 15:37 |
| klong-20221212-r0.apk | 335.7 KiB | 2025-Jun-07 15:34 |
| kjv-0_git20221103-r0.apk | 1.5 MiB | 2023-Sep-25 04:51 |
| kismet-nxp-kw41z-0.202509.1-r0.apk | 47.0 KiB | 2025-Oct-15 12:16 |
| kismet-nrf-51822-0.202509.1-r0.apk | 45.7 KiB | 2025-Oct-15 12:16 |
| kismet-logtools-0.202509.1-r0.apk | 1.1 MiB | 2025-Oct-15 12:16 |
| kismet-linux-wifi-0.202509.1-r0.apk | 66.7 KiB | 2025-Oct-15 12:16 |
| kismet-linux-bluetooth-0.202509.1-r0.apk | 47.6 KiB | 2025-Oct-15 12:16 |
| kismet-0.202509.1-r0.apk | 12.1 MiB | 2025-Oct-15 12:16 |
| kirc-doc-0.3.3-r0.apk | 2.5 KiB | 2025-Feb-22 11:10 |
| kirc-0.3.3-r0.apk | 14.5 KiB | 2025-Feb-22 11:10 |
| kine-doc-0.10.1-r18.apk | 4.9 KiB | 2025-Dec-24 10:53 |
| kine-0.10.1-r18.apk | 7.7 MiB | 2025-Dec-24 10:53 |
| khronos-lang-4.0.1-r0.apk | 25.5 KiB | 2023-Dec-14 16:55 |
| khronos-4.0.1-r0.apk | 52.8 KiB | 2023-Dec-14 16:55 |
| khinsider-2.0.7-r25.apk | 3.4 MiB | 2025-Dec-24 10:53 |
| kfc-0.1.4-r0.apk | 57.0 KiB | 2023-Jun-03 02:29 |
| keydb-openrc-6.3.4-r0.apk | 2.4 KiB | 2024-May-26 12:29 |
| keydb-cli-6.3.4-r0.apk | 388.9 KiB | 2024-May-26 12:29 |
| keydb-benchmark-6.3.4-r0.apk | 425.8 KiB | 2024-May-26 12:29 |
| keydb-6.3.4-r0.apk | 1.1 MiB | 2024-May-26 12:29 |
| keybase-client-6.2.8-r15.apk | 17.8 MiB | 2025-Dec-24 10:53 |
| kew-doc-3.6.4-r0.apk | 3.4 KiB | 2025-Oct-21 05:35 |
| kew-3.6.4-r0.apk | 537.9 KiB | 2025-Oct-21 05:35 |
| kerberoast-pyc-0.2.0-r2.apk | 14.8 KiB | 2025-May-29 12:38 |
| kerberoast-0.2.0-r2.apk | 9.1 KiB | 2025-May-29 12:38 |
| keepsecret-lang-1.0.0-r0.apk | 26.7 KiB | 2025-Dec-24 10:53 |
| keepsecret-1.0.0-r0.apk | 134.3 KiB | 2025-Dec-24 10:53 |
| kdiskmark-lang-3.2.0-r0.apk | 31.6 KiB | 2025-Jul-01 13:33 |
| kdiskmark-3.2.0-r0.apk | 166.8 KiB | 2025-Jul-01 13:33 |
| kcbench-doc-0.9.13-r0.apk | 20.0 KiB | 2025-Oct-26 23:29 |
| kcbench-0.9.13-r0.apk | 36.9 KiB | 2025-Oct-26 23:29 |
| katarakt-0.2-r1.apk | 90.4 KiB | 2025-Jan-30 01:09 |
| katana-doc-1.2.2-r3.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| katana-1.2.2-r3.apk | 13.9 MiB | 2025-Dec-24 10:53 |
| kapow-0.7.1-r18.apk | 3.5 MiB | 2025-Dec-24 10:53 |
| kabmat-doc-2.7.0-r0.apk | 3.3 KiB | 2023-May-07 08:41 |
| kabmat-2.7.0-r0.apk | 58.1 KiB | 2023-May-07 08:41 |
| k3sup-zsh-completion-0.13.6-r10.apk | 3.7 KiB | 2025-Dec-24 10:53 |
| k3sup-fish-completion-0.13.6-r10.apk | 4.0 KiB | 2025-Dec-24 10:53 |
| k3sup-bash-completion-0.13.6-r10.apk | 4.8 KiB | 2025-Dec-24 10:53 |
| k3sup-0.13.6-r10.apk | 2.6 MiB | 2025-Dec-24 10:53 |
| jwt-cli-6.2.0-r0.apk | 799.8 KiB | 2024-Dec-14 19:05 |
| junit2html-pyc-31.0.2-r0.apk | 23.4 KiB | 2024-Aug-07 01:50 |
| junit2html-31.0.2-r0.apk | 16.5 KiB | 2024-Aug-07 01:50 |
| jsonnet-language-server-0.16.0-r1.apk | 4.3 MiB | 2025-Dec-24 10:53 |
| jsonnet-bundler-0.6.0-r10.apk | 3.2 MiB | 2025-Dec-24 10:53 |
| json2tsv-jaq-doc-1.2-r0.apk | 2.1 KiB | 2024-Aug-07 01:50 |
| json2tsv-jaq-1.2-r0.apk | 1.6 KiB | 2024-Aug-07 01:50 |
| json2tsv-doc-1.2-r0.apk | 5.0 KiB | 2024-Aug-07 01:50 |
| json2tsv-1.2-r0.apk | 6.3 KiB | 2024-Aug-07 01:50 |
| jsmn-1.1.0-r2.apk | 4.5 KiB | 2024-Jan-19 17:04 |
| jotdown-0.7.0-r0.apk | 222.5 KiB | 2025-Mar-11 20:29 |
| jhead-doc-3.08-r0.apk | 7.6 KiB | 2023-Jul-23 13:59 |
| jhead-3.08-r0.apk | 34.0 KiB | 2023-Jul-23 13:59 |
| jfrog-cli-2.45.0-r17.apk | 9.1 MiB | 2025-Dec-24 10:53 |
| jedi-language-server-pyc-0.45.1-r0.apk | 49.5 KiB | 2025-Apr-28 23:05 |
| jedi-language-server-0.45.1-r0.apk | 31.5 KiB | 2025-Apr-28 23:05 |
| jdupes-doc-1.28.0-r0.apk | 8.7 KiB | 2024-Aug-07 01:50 |
| jdupes-1.28.0-r0.apk | 27.7 KiB | 2024-Aug-07 01:50 |
| jdebp-redo-doc-1.4-r1.apk | 12.1 KiB | 2022-Jun-11 18:38 |
| jdebp-redo-1.4-r1.apk | 91.3 KiB | 2022-Jun-11 18:38 |
| jbigkit-doc-2.1-r2.apk | 7.1 KiB | 2022-Oct-25 13:04 |
| jbigkit-dev-2.1-r2.apk | 48.3 KiB | 2022-Oct-25 13:04 |
| jbigkit-2.1-r2.apk | 62.0 KiB | 2022-Oct-25 13:04 |
| java-asmtools-doc-8.0.09-r0.apk | 6.6 KiB | 2024-Jun-04 07:45 |
| java-asmtools-8.0.09-r0.apk | 573.9 KiB | 2024-Jun-04 07:45 |
| jaq-doc-2.3.0-r0.apk | 2.0 KiB | 2025-Jul-20 11:04 |
| jaq-2.3.0-r0.apk | 783.8 KiB | 2025-Jul-20 11:04 |
| jalv-gtk-1.6.8-r1.apk | 33.4 KiB | 2023-Jul-30 12:12 |
| jalv-doc-1.6.8-r1.apk | 2.9 KiB | 2023-Jul-30 12:12 |
| jalv-1.6.8-r1.apk | 50.8 KiB | 2023-Jul-30 12:12 |
| jackdaw-pyc-0.3.1-r2.apk | 363.9 KiB | 2025-May-29 12:38 |
| jackdaw-0.3.1-r2.apk | 2.0 MiB | 2025-May-29 12:38 |
| jackal-openrc-0.64.0-r20.apk | 1.6 KiB | 2025-Dec-24 10:53 |
| jackal-0.64.0-r20.apk | 11.7 MiB | 2025-Dec-24 10:53 |
| jack_capture-0.9.73_git20210429-r2.apk | 29.5 KiB | 2022-Jul-04 14:24 |
| it87-src-1_p20240609-r0.apk | 29.4 KiB | 2024-Jun-27 13:58 |
| isomd5sum-doc-1.2.5-r0.apk | 2.7 KiB | 2025-Jul-16 12:25 |
| isomd5sum-1.2.5-r0.apk | 18.5 KiB | 2025-Jul-16 12:25 |
| isoinfo-0_git20131217-r1.apk | 5.6 KiB | 2022-Oct-25 13:04 |
| ircdog-0.5.4-r10.apk | 2.4 MiB | 2025-Dec-24 10:53 |
| ircd-hybrid-doc-8.2.47-r0.apk | 3.4 KiB | 2025-Apr-07 08:32 |
| ircd-hybrid-8.2.47-r0.apk | 338.6 KiB | 2025-Apr-07 08:32 |
| irccd-openrc-4.0.3-r0.apk | 1.6 KiB | 2023-Jul-30 12:12 |
| irccd-doc-4.0.3-r0.apk | 80.1 KiB | 2023-Jul-30 12:12 |
| irccd-dev-4.0.3-r0.apk | 9.4 KiB | 2023-Jul-30 12:12 |
| irccd-4.0.3-r0.apk | 269.4 KiB | 2023-Jul-30 12:12 |
| iprange-doc-1.0.4-r1.apk | 4.3 KiB | 2022-Oct-25 13:04 |
| iprange-1.0.4-r1.apk | 19.3 KiB | 2022-Oct-25 13:04 |
| ipp-usb-openrc-0.9.30-r5.apk | 1.5 KiB | 2025-Dec-24 10:53 |
| ipp-usb-doc-0.9.30-r5.apk | 8.6 KiB | 2025-Dec-24 10:53 |
| ipp-usb-0.9.30-r5.apk | 2.4 MiB | 2025-Dec-24 10:53 |
| ip2location-doc-8.6.1-r0.apk | 2.4 KiB | 2023-Jun-07 17:29 |
| ip2location-dev-8.6.1-r0.apk | 19.9 KiB | 2023-Jun-07 17:29 |
| ip2location-8.6.1-r0.apk | 22.8 KiB | 2023-Jun-07 17:29 |
| invidtui-0.4.6-r10.apk | 4.0 MiB | 2025-Dec-24 10:53 |
| interception-tools-openrc-0.6.8-r3.apk | 1.4 KiB | 2025-Oct-15 12:16 |
| interception-tools-0.6.8-r3.apk | 100.8 KiB | 2025-Oct-15 12:16 |
| innernet-zsh-completion-1.6.1-r0.apk | 5.2 KiB | 2024-Mar-03 07:17 |
| innernet-openrc-1.6.1-r0.apk | 2.0 KiB | 2024-Mar-03 07:17 |
| innernet-fish-completion-1.6.1-r0.apk | 4.3 KiB | 2024-Mar-03 07:17 |
| innernet-doc-1.6.1-r0.apk | 8.8 KiB | 2024-Mar-03 07:17 |
| innernet-bash-completion-1.6.1-r0.apk | 3.6 KiB | 2024-Mar-03 07:17 |
| innernet-1.6.1-r0.apk | 2.7 MiB | 2024-Mar-03 07:17 |
| inlyne-zsh-completion-0.5.0-r0.apk | 2.5 KiB | 2025-Dec-24 10:53 |
| inlyne-fish-completion-0.5.0-r0.apk | 2.2 KiB | 2025-Dec-24 10:53 |
| inlyne-doc-0.5.0-r0.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| inlyne-bash-completion-0.5.0-r0.apk | 2.1 KiB | 2025-Dec-24 10:53 |
| inlyne-0.5.0-r0.apk | 6.8 MiB | 2025-Dec-24 10:53 |
| initify-0_git20171210-r1.apk | 3.0 KiB | 2022-Oct-25 13:04 |
| infnoise-openrc-0.3.3-r0.apk | 1.5 KiB | 2025-May-26 19:38 |
| infnoise-doc-0.3.3-r0.apk | 3.9 KiB | 2025-May-26 19:38 |
| infnoise-0.3.3-r0.apk | 14.0 KiB | 2025-May-26 19:38 |
| imrsh-dbg-0_git20210320-r1.apk | 19.7 KiB | 2022-Oct-25 13:04 |
| imrsh-0_git20210320-r1.apk | 7.5 KiB | 2022-Oct-25 13:04 |
| imgdiff-doc-1.0.2-r31.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| imgdiff-1.0.2-r31.apk | 998.9 KiB | 2025-Dec-24 10:53 |
| imediff-pyc-2.6-r1.apk | 43.4 KiB | 2024-Apr-30 01:06 |
| imediff-doc-2.6-r1.apk | 6.2 KiB | 2024-Apr-30 01:06 |
| imediff-2.6-r1.apk | 41.3 KiB | 2024-Apr-30 01:06 |
| imapfilter-doc-2.8.2-r0.apk | 12.6 KiB | 2023-Dec-31 19:40 |
| imapfilter-2.8.2-r0.apk | 40.0 KiB | 2023-Dec-31 19:40 |
| ijq-doc-1.2.0-r2.apk | 3.3 KiB | 2025-Dec-24 10:53 |
| ijq-1.2.0-r2.apk | 1.4 MiB | 2025-Dec-24 10:53 |
| igrep-doc-1.2.0-r0.apk | 3.9 KiB | 2023-Aug-19 19:23 |
| igrep-1.2.0-r0.apk | 1.6 MiB | 2023-Aug-19 19:23 |
| ifuse-doc-1.1.4-r5.apk | 2.1 KiB | 2024-Oct-30 22:46 |
| ifuse-1.1.4-r5.apk | 9.3 KiB | 2024-Oct-30 22:46 |
| idevicerestore-doc-1.0.0_git20250914-r0.apk | 3.2 KiB | 2025-Oct-06 17:35 |
| idevicerestore-1.0.0_git20250914-r0.apk | 99.2 KiB | 2025-Oct-06 17:35 |
| ideviceinstaller-doc-1.1.1-r4.apk | 2.2 KiB | 2024-Oct-30 22:46 |
| ideviceinstaller-1.1.1-r4.apk | 13.4 KiB | 2024-Oct-30 22:46 |
| idesk-1-r1.apk | 67.7 KiB | 2022-Oct-14 19:59 |
| identme-0.6.0-r0.apk | 46.3 KiB | 2025-Apr-07 08:32 |
| identities-0.2.2-r0.apk | 16.8 KiB | 2025-Oct-18 15:41 |
| icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1.6 KiB | 2021-Jul-27 08:11 |
| icingaweb2-module-pnp-1.1.0-r1.apk | 8.9 KiB | 2021-Jul-27 08:11 |
| icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1.6 KiB | 2023-Jan-12 16:30 |
| icingaweb2-module-generictts-2.1.0-r0.apk | 6.3 KiB | 2023-Jan-12 16:30 |
| icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 230.6 KiB | 2023-Dec-14 16:55 |
| icingaweb2-module-fileshipper-1.2.0-r3.apk | 10.6 KiB | 2023-Dec-14 16:55 |
| icingaweb2-module-businessprocess-doc-2.5.2-r0.apk | 2.0 MiB | 2025-Aug-13 19:49 |
| icingaweb2-module-businessprocess-2.5.2-r0.apk | 109.8 KiB | 2025-Aug-13 19:49 |
| icestorm-0_git20240517-r0.apk | 16.5 MiB | 2024-Jun-22 10:59 |
| icesprog-udev-0_git20240108-r1.apk | 1.6 KiB | 2024-Sep-30 12:42 |
| icesprog-0_git20240108-r1.apk | 9.2 KiB | 2024-Sep-30 12:42 |
| ibus-typing-booster-pyc-2.29.0-r0.apk | 1.5 MiB | 2025-Dec-24 10:53 |
| ibus-typing-booster-lang-2.29.0-r0.apk | 316.6 KiB | 2025-Dec-24 10:53 |
| ibus-typing-booster-2.29.0-r0.apk | 12.7 MiB | 2025-Dec-24 10:53 |
| i3status-rust-doc-0.34.0-r0.apk | 32.9 KiB | 2025-Jul-20 00:34 |
| i3status-rust-0.34.0-r0.apk | 4.9 MiB | 2025-Jul-20 00:34 |
| i3bar-river-systemd-1.1.0-r1.apk | 1.6 KiB | 2025-Oct-30 13:42 |
| i3bar-river-openrc-1.1.0-r1.apk | 1.5 KiB | 2025-Oct-30 13:42 |
| i3bar-river-1.1.0-r1.apk | 517.7 KiB | 2025-Oct-30 13:42 |
| i2util-doc-4.2.1-r1.apk | 4.5 KiB | 2022-Oct-25 13:04 |
| i2util-dev-4.2.1-r1.apk | 66.7 KiB | 2022-Oct-25 13:04 |
| i2util-4.2.1-r1.apk | 16.4 KiB | 2022-Oct-25 13:04 |
| hyx-doc-2024.02.29-r0.apk | 2.0 KiB | 2024-Sep-26 11:19 |
| hyx-2024.02.29-r0.apk | 16.9 KiB | 2024-Sep-26 11:19 |
| hyprsunset-openrc-0.3.3-r1.apk | 1.5 KiB | 2025-Dec-24 10:53 |
| hyprsunset-doc-0.3.3-r1.apk | 2.2 KiB | 2025-Dec-24 10:53 |
| hyprsunset-0.3.3-r1.apk | 131.6 KiB | 2025-Dec-24 10:53 |
| hyprpicker-doc-0.4.5-r1.apk | 3.6 KiB | 2025-Dec-24 10:53 |
| hyprpicker-0.4.5-r1.apk | 112.5 KiB | 2025-Dec-24 10:53 |
| hyprlock-0.9.2-r0.apk | 388.1 KiB | 2025-Dec-24 10:53 |
| hypridle-openrc-0.1.7-r1.apk | 1.4 KiB | 2025-Dec-24 10:53 |
| hypridle-doc-0.1.7-r1.apk | 2.1 KiB | 2025-Dec-24 10:53 |
| hypridle-0.1.7-r1.apk | 128.2 KiB | 2025-Dec-24 10:53 |
| hypnotix-lang-3.5-r0.apk | 72.2 KiB | 2023-Jul-18 01:26 |
| hypnotix-3.5-r0.apk | 109.8 KiB | 2023-Jul-18 01:26 |
| hyperrogue-doc-13.1i-r0.apk | 7.7 KiB | 2025-Dec-24 10:53 |
| hyperrogue-13.1i-r0.apk | 83.9 MiB | 2025-Dec-24 10:53 |
| hyfetch-zsh-completion-2.0.5-r0.apk | 2.3 KiB | 2025-Nov-22 08:51 |
| hyfetch-doc-2.0.5-r0.apk | 19.3 KiB | 2025-Nov-22 08:51 |
| hyfetch-bash-completion-2.0.5-r0.apk | 3.1 KiB | 2025-Nov-22 08:51 |
| hyfetch-2.0.5-r0.apk | 868.4 KiB | 2025-Nov-22 08:51 |
| hx-doc-1.0.15-r0.apk | 4.5 KiB | 2024-Aug-07 01:50 |
| hx-1.0.15-r0.apk | 14.4 KiB | 2024-Aug-07 01:50 |
| hwatch-zsh-completion-0.3.11-r0.apk | 1.7 KiB | 2024-Mar-25 12:20 |
| hwatch-fish-completion-0.3.11-r0.apk | 1.6 KiB | 2024-Mar-25 12:20 |
| hwatch-doc-0.3.11-r0.apk | 2.8 KiB | 2024-Mar-25 12:20 |
| hwatch-0.3.11-r0.apk | 968.3 KiB | 2024-Mar-25 12:20 |
| hw-probe-1.6.6-r2.apk | 123.6 KiB | 2025-Jul-01 13:33 |
| hurl-zsh-completion-7.1.0-r0.apk | 3.9 KiB | 2025-Dec-24 10:53 |
| hurl-fish-completion-7.1.0-r0.apk | 3.4 KiB | 2025-Dec-24 10:53 |
| hurl-doc-7.1.0-r0.apk | 8.9 KiB | 2025-Dec-24 10:53 |
| hurl-bash-completion-7.1.0-r0.apk | 2.1 KiB | 2025-Dec-24 10:53 |
| hurl-7.1.0-r0.apk | 2.4 MiB | 2025-Dec-24 10:53 |
| hunspell-fr-doc-7.7-r0.apk | 2.6 KiB | 2025-Dec-24 10:53 |
| hunspell-fr-7.7-r0.apk | 1003.0 KiB | 2025-Dec-24 10:53 |
| hunspell-ca-es-3.0.7-r0.apk | 731.0 KiB | 2022-Dec-04 12:25 |
| hubble-cli-zsh-completion-0.13.6-r10.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| hubble-cli-fish-completion-0.13.6-r10.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| hubble-cli-bash-completion-0.13.6-r10.apk | 4.8 KiB | 2025-Dec-24 10:53 |
| hubble-cli-0.13.6-r10.apk | 17.4 MiB | 2025-Dec-24 10:53 |
| hub-zsh-completion-2.14.2-r36.apk | 3.5 KiB | 2025-Dec-24 10:53 |
| hub-fish-completion-2.14.2-r36.apk | 3.0 KiB | 2025-Dec-24 10:53 |
| hub-doc-2.14.2-r36.apk | 41.7 KiB | 2025-Dec-24 10:53 |
| hub-bash-completion-2.14.2-r36.apk | 4.3 KiB | 2025-Dec-24 10:53 |
| hub-2.14.2-r36.apk | 2.8 MiB | 2025-Dec-24 10:53 |
| httrack-doc-3.49.2-r5.apk | 527.7 KiB | 2023-May-21 12:09 |
| httrack-3.49.2-r5.apk | 760.5 KiB | 2023-May-21 12:09 |
| httpx-doc-1.7.4-r0.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| httpx-1.7.4-r0.apk | 17.1 MiB | 2025-Dec-24 10:53 |
| httplz-doc-2.2.0-r0.apk | 2.0 KiB | 2025-May-18 15:44 |
| httplz-2.2.0-r0.apk | 1.3 MiB | 2025-May-18 15:44 |
| httpie-oauth-pyc-1.0.2-r9.apk | 2.0 KiB | 2024-Apr-30 01:05 |
| httpie-oauth-1.0.2-r9.apk | 3.1 KiB | 2024-Apr-30 01:05 |
| htmlcxx-dev-0.87-r1.apk | 20.4 KiB | 2022-Oct-14 19:59 |
| htmlcxx-0.87-r1.apk | 60.3 KiB | 2022-Oct-14 19:59 |
| hsetroot-1.0.5-r1.apk | 9.0 KiB | 2022-Oct-25 13:04 |
| hpnssh-doc-18.8.0-r0.apk | 101.2 KiB | 2025-Nov-28 19:48 |
| hpnssh-18.8.0-r0.apk | 2.8 MiB | 2025-Nov-28 19:48 |
| hping3-doc-20051105-r4.apk | 16.7 KiB | 2021-Jul-27 08:11 |
| hping3-20051105-r4.apk | 67.7 KiB | 2021-Jul-27 08:11 |
| horizon-tools-0.9.6-r9.apk | 81.7 KiB | 2024-Apr-30 01:05 |
| horizon-image-0.9.6-r9.apk | 68.4 KiB | 2024-Apr-30 01:05 |
| horizon-doc-0.9.6-r9.apk | 21.2 KiB | 2024-Apr-30 01:05 |
| horizon-dev-0.9.6-r9.apk | 4.6 KiB | 2024-Apr-30 01:05 |
| horizon-dbg-0.9.6-r9.apk | 3.4 MiB | 2024-Apr-30 01:05 |
| horizon-0.9.6-r9.apk | 207.8 KiB | 2024-Apr-30 01:05 |
| homebank-lang-5.9.5-r0.apk | 942.2 KiB | 2025-Oct-15 12:16 |
| homebank-5.9.5-r0.apk | 2.0 MiB | 2025-Oct-15 12:16 |
| hitide-openrc-0.15.0-r0.apk | 1.9 KiB | 2024-Mar-29 02:20 |
| hitide-0.15.0-r0.apk | 1.9 MiB | 2024-Mar-29 02:20 |
| hiprompt-gtk-py-0.8.0-r1.apk | 7.8 KiB | 2025-Sep-26 04:01 |
| himitsu-totp-doc-0.9-r0.apk | 2.1 KiB | 2025-Sep-26 04:01 |
| himitsu-totp-0.9-r0.apk | 146.9 KiB | 2025-Sep-26 04:01 |
| himitsu-secret-service-pyc-0.1_git20250705-r1.apk | 28.3 KiB | 2025-Oct-20 13:52 |
| himitsu-secret-service-doc-0.1_git20250705-r1.apk | 3.7 KiB | 2025-Oct-20 13:52 |
| himitsu-secret-service-0.1_git20250705-r1.apk | 15.5 KiB | 2025-Oct-20 13:52 |
| himitsu-git-0.9.0-r0.apk | 113.5 KiB | 2025-Aug-20 17:10 |
| himitsu-firefox-0.6-r1.apk | 198.2 KiB | 2024-Nov-29 12:38 |
| hilbish-doc-2.3.4-r10.apk | 24.7 KiB | 2025-Dec-24 10:53 |
| hilbish-2.3.4-r10.apk | 3.4 MiB | 2025-Dec-24 10:53 |
| highfive-2.10.1-r0.apk | 75.0 KiB | 2025-Jan-15 08:44 |
| highctidh-dev-1.0.2024092800-r0.apk | 477.4 KiB | 2024-Nov-25 20:33 |
| highctidh-1.0.2024092800-r0.apk | 323.4 KiB | 2024-Nov-25 20:33 |
| hidrd-dev-0.2.0_git20190603-r1.apk | 158.8 KiB | 2022-Oct-25 13:04 |
| hidrd-0.2.0_git20190603-r1.apk | 59.5 KiB | 2022-Oct-25 13:04 |
| hiawatha-openrc-11.6-r1.apk | 1.4 KiB | 2025-May-27 17:06 |
| hiawatha-letsencrypt-11.6-r1.apk | 17.0 KiB | 2025-May-27 17:06 |
| hiawatha-doc-11.6-r1.apk | 20.8 KiB | 2025-May-27 17:06 |
| hiawatha-11.6-r1.apk | 187.0 KiB | 2025-May-27 17:06 |
| hfst-libs-3.16.2-r0.apk | 2.0 MiB | 2025-Apr-07 08:32 |
| hfst-doc-3.16.2-r0.apk | 69.4 KiB | 2025-Apr-07 08:32 |
| hfst-dev-3.16.2-r0.apk | 208.7 KiB | 2025-Apr-07 08:32 |
| hfst-3.16.2-r0.apk | 1.4 MiB | 2025-Apr-07 08:32 |
| hexedit-doc-1.6_git20230905-r0.apk | 5.3 KiB | 2024-Apr-04 15:03 |
| hexedit-1.6_git20230905-r0.apk | 18.3 KiB | 2024-Apr-04 15:03 |
| herbe-1.0.0-r0.apk | 5.0 KiB | 2022-Oct-07 06:49 |
| helmfile-zsh-completion-1.1.8-r1.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| helmfile-fish-completion-1.1.8-r1.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| helmfile-doc-1.1.8-r1.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| helmfile-bash-completion-1.1.8-r1.apk | 5.9 KiB | 2025-Dec-24 10:53 |
| helmfile-1.1.8-r1.apk | 58.0 MiB | 2025-Dec-24 10:53 |
| helm-unittest-1.0.3-r1.apk | 11.7 MiB | 2025-Dec-24 10:53 |
| helm-mapkubeapis-0.6.1-r1.apk | 20.6 MiB | 2025-Dec-24 10:53 |
| helm-ls-doc-0.5.4-r1.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| helm-ls-0.5.4-r1.apk | 17.9 MiB | 2025-Dec-24 10:53 |
| helm-diff-3.13.1-r1.apk | 21.0 MiB | 2025-Dec-24 10:53 |
| heisenbridge-pyc-1.15.4-r0.apk | 154.7 KiB | 2025-Oct-06 05:08 |
| heisenbridge-1.15.4-r0.apk | 67.0 KiB | 2025-Oct-06 05:08 |
| heh-doc-0.6.2-r0.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| heh-0.6.2-r0.apk | 490.7 KiB | 2025-Dec-24 10:53 |
| hddfancontrol-pyc-1.6.2-r0.apk | 33.8 KiB | 2024-Jun-27 20:49 |
| hddfancontrol-openrc-1.6.2-r0.apk | 1.9 KiB | 2024-Jun-27 20:49 |
| hddfancontrol-1.6.2-r0.apk | 32.6 KiB | 2024-Jun-27 20:49 |
| hctl-0.2.7-r0.apk | 1.3 MiB | 2025-May-15 20:19 |
| hatop-doc-0.8.2-r0.apk | 2.7 KiB | 2022-Oct-07 06:49 |
| hatop-0.8.2-r0.apk | 17.7 KiB | 2022-Oct-07 06:49 |
| hatch-pyc-1.16.1-r0.apk | 246.2 KiB | 2025-Nov-30 20:02 |
| hatch-1.16.1-r0.apk | 114.5 KiB | 2025-Nov-30 20:02 |
| hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2022-Oct-07 06:49 |
| hashcat-6.2.6-r0.apk | 54.8 MiB | 2022-Oct-07 06:49 |
| harminv-libs-1.4.2-r1.apk | 24.8 KiB | 2023-Dec-14 16:55 |
| harminv-doc-1.4.2-r1.apk | 5.4 KiB | 2023-Dec-14 16:55 |
| harminv-dev-1.4.2-r1.apk | 2.9 KiB | 2023-Dec-14 16:55 |
| harminv-1.4.2-r1.apk | 7.2 KiB | 2023-Dec-14 16:55 |
| haredo-doc-1.0.5-r1.apk | 4.4 KiB | 2024-Nov-29 12:38 |
| haredo-1.0.5-r1.apk | 149.0 KiB | 2024-Nov-29 12:38 |
| hare-scfg-0.25.2-r0.apk | 4.4 KiB | 2025-Sep-26 04:01 |
| hare-madeline-doc-0.1_git20240505-r1.apk | 1.9 KiB | 2024-Nov-29 12:38 |
| hare-madeline-0.1_git20240505-r1.apk | 24.3 KiB | 2024-Nov-29 12:38 |
| hare-irc-0.25.2.0-r0.apk | 10.4 KiB | 2025-Jun-25 07:25 |
| hare-http-0.25.2.0-r1.apk | 20.4 KiB | 2025-Aug-10 16:56 |
| hare-gtk4-layer-shell-0.1.0-r0.apk | 3.5 KiB | 2025-Aug-25 18:30 |
| hare-gi-0.1.0-r0.apk | 1.6 MiB | 2025-Aug-25 18:30 |
| hare-adwaita-0.1.0-r0.apk | 89.3 KiB | 2025-Aug-25 18:30 |
| haproxy-dataplaneapi2-openrc-2.9.18-r2.apk | 1.9 KiB | 2025-Dec-24 10:53 |
| haproxy-dataplaneapi2-2.9.18-r2.apk | 10.2 MiB | 2025-Dec-24 10:53 |
| handlebars-utils-1.0.0-r1.apk | 9.4 KiB | 2023-May-21 12:09 |
| handlebars-dev-1.0.0-r1.apk | 32.1 KiB | 2023-May-21 12:09 |
| handlebars-1.0.0-r1.apk | 103.0 KiB | 2023-May-21 12:09 |
| hamster-time-tracker-pyc-3.0.3-r2.apk | 357.8 KiB | 2024-Apr-30 01:05 |
| hamster-time-tracker-lang-3.0.3-r2.apk | 205.4 KiB | 2024-Apr-30 01:05 |
| hamster-time-tracker-doc-3.0.3-r2.apk | 115.9 KiB | 2024-Apr-30 01:05 |
| hamster-time-tracker-bash-completion-3.0.3-r2.apk | 1.7 KiB | 2024-Apr-30 01:05 |
| hamster-time-tracker-3.0.3-r2.apk | 155.5 KiB | 2024-Apr-30 01:05 |
| halp-zsh-completion-0.2.0-r0.apk | 2.1 KiB | 2024-Aug-31 15:05 |
| halp-fish-completion-0.2.0-r0.apk | 1.7 KiB | 2024-Aug-31 15:05 |
| halp-doc-0.2.0-r0.apk | 6.6 KiB | 2024-Aug-31 15:05 |
| halp-bash-completion-0.2.0-r0.apk | 1.9 KiB | 2024-Aug-31 15:05 |
| halp-0.2.0-r0.apk | 874.4 KiB | 2024-Aug-31 15:05 |
| gyosu-0.2.0-r3.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| gx-doc-0.14.3-r35.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| gx-0.14.3-r35.apk | 4.6 MiB | 2025-Dec-24 10:53 |
| gupnp-doc-1.6.9-r1.apk | 3.5 KiB | 2025-Aug-10 16:56 |
| gupnp-dlna-dev-0.12.0-r1.apk | 24.1 KiB | 2025-Aug-10 16:56 |
| gupnp-dlna-0.12.0-r1.apk | 64.7 KiB | 2025-Aug-10 16:56 |
| gupnp-dev-1.6.9-r1.apk | 50.1 KiB | 2025-Aug-10 16:56 |
| gupnp-av-dev-0.14.4-r1.apk | 41.3 KiB | 2025-Aug-10 16:56 |
| gupnp-av-0.14.4-r1.apk | 78.2 KiB | 2025-Aug-10 16:56 |
| gupnp-1.6.9-r1.apk | 87.6 KiB | 2025-Aug-10 16:56 |
| gufw-pyc-24.04-r3.apk | 64.3 KiB | 2024-Nov-20 00:38 |
| gufw-lang-24.04-r3.apk | 854.3 KiB | 2024-Nov-20 00:38 |
| gufw-doc-24.04-r3.apk | 4.2 KiB | 2024-Nov-20 00:38 |
| gufw-24.04-r3.apk | 596.2 KiB | 2024-Nov-20 00:38 |
| guetzli-dev-0_git20191025-r2.apk | 3.9 MiB | 2025-May-25 08:06 |
| guetzli-0_git20191025-r2.apk | 141.6 KiB | 2025-May-25 08:06 |
| guake-pyc-3.10.1-r0.apk | 185.9 KiB | 2025-Nov-24 18:08 |
| guake-lang-3.10.1-r0.apk | 194.0 KiB | 2025-Nov-24 18:08 |
| guake-3.10.1-r0.apk | 304.2 KiB | 2025-Nov-24 18:08 |
| gtypist-lang-2.10.1-r0.apk | 25.9 KiB | 2025-Oct-15 12:16 |
| gtypist-doc-2.10.1-r0.apk | 103.6 KiB | 2025-Oct-15 12:16 |
| gtypist-2.10.1-r0.apk | 635.6 KiB | 2025-Oct-15 12:16 |
| gtranslator-lang-49.0-r0.apk | 592.7 KiB | 2025-Sep-26 04:01 |
| gtranslator-doc-49.0-r0.apk | 637.3 KiB | 2025-Sep-26 04:01 |
| gtranslator-49.0-r0.apk | 140.2 KiB | 2025-Sep-26 04:01 |
| gtkwave-doc-3.3.120-r0.apk | 26.9 KiB | 2024-Sep-01 17:05 |
| gtkwave-3.3.120-r0.apk | 2.6 MiB | 2024-Sep-01 17:05 |
| gtklock-doc-4.0.0-r0.apk | 2.7 KiB | 2025-Jan-31 16:18 |
| gtklock-4.0.0-r0.apk | 18.4 KiB | 2025-Jan-31 16:18 |
| gtkhash-lang-1.5-r0.apk | 45.4 KiB | 2025-Mar-10 19:00 |
| gtkhash-1.5-r0.apk | 85.4 KiB | 2025-Mar-10 19:00 |
| gtk-session-lock-dev-0.2.0-r0.apk | 5.0 KiB | 2025-Jan-31 16:18 |
| gtk-session-lock-0.2.0-r0.apk | 34.9 KiB | 2025-Jan-31 16:18 |
| gtimelog-pyc-0.12_git20251114-r0.apk | 102.1 KiB | 2025-Nov-18 21:13 |
| gtimelog-0.12_git20251114-r0.apk | 219.0 KiB | 2025-Nov-18 21:13 |
| gstreamermm-dev-1.10.0-r6.apk | 309.5 KiB | 2025-Feb-17 12:15 |
| gstreamermm-1.10.0-r6.apk | 478.2 KiB | 2025-Feb-17 12:15 |
| gst-video-thumbnailer-1.0_alpha1-r2.apk | 286.9 KiB | 2025-Dec-24 10:53 |
| gst-thumbnailers-1.0_alpha1-r2.apk | 1.0 KiB | 2025-Dec-24 10:53 |
| gst-audio-thumbnailer-1.0_alpha1-r2.apk | 272.3 KiB | 2025-Dec-24 10:53 |
| gssdp-dev-1.6.4-r1.apk | 15.3 KiB | 2025-Aug-10 16:56 |
| gssdp-1.6.4-r1.apk | 45.1 KiB | 2025-Aug-10 16:56 |
| gsimplecal-doc-2.5.2-r0.apk | 5.5 KiB | 2025-Oct-16 18:49 |
| gsimplecal-2.5.2-r0.apk | 15.5 KiB | 2025-Oct-16 18:49 |
| gsettings-qt-dev-1.1.0-r0.apk | 3.3 KiB | 2025-Dec-24 10:53 |
| gsettings-qt-1.1.0-r0.apk | 28.7 KiB | 2025-Dec-24 10:53 |
| grpcurl-1.9.3-r8.apk | 8.1 MiB | 2025-Dec-24 10:53 |
| grpcui-1.5.1-r4.apk | 8.5 MiB | 2025-Dec-24 10:53 |
| grip-lang-4.2.4-r0.apk | 144.2 KiB | 2023-Jan-26 19:34 |
| grip-doc-4.2.4-r0.apk | 5.9 KiB | 2023-Jan-26 19:34 |
| grip-4.2.4-r0.apk | 375.4 KiB | 2023-Jan-26 19:34 |
| greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.0 KiB | 2023-Aug-22 10:23 |
| greetd-mini-wl-greeter-bash-completion-0_git2023..> | 1.9 KiB | 2023-Aug-22 10:23 |
| greetd-mini-wl-greeter-0_git20230821-r0.apk | 19.1 KiB | 2023-Aug-22 10:23 |
| gradia-lang-1.9.0-r0.apk | 55.3 KiB | 2025-Sep-26 04:01 |
| gradia-dev-1.9.0-r0.apk | 2.3 KiB | 2025-Sep-26 04:01 |
| gradia-1.9.0-r0.apk | 530.3 KiB | 2025-Sep-26 04:01 |
| gr-satellites-doc-5.5.0-r6.apk | 4.3 KiB | 2025-Oct-15 12:16 |
| gr-satellites-dev-5.5.0-r6.apk | 12.5 KiB | 2025-Oct-15 12:16 |
| gr-satellites-5.5.0-r6.apk | 480.8 KiB | 2025-Oct-15 12:16 |
| gpscorrelate-lang-2.3-r0.apk | 17.1 KiB | 2025-Mar-27 06:46 |
| gpscorrelate-doc-2.3-r0.apk | 284.7 KiB | 2025-Mar-27 06:46 |
| gpscorrelate-cli-2.3-r0.apk | 22.9 KiB | 2025-Mar-27 06:46 |
| gpscorrelate-2.3-r0.apk | 46.8 KiB | 2025-Mar-27 06:46 |
| gprbuild-25.0.0-r0.apk | 12.6 MiB | 2025-Oct-29 11:31 |
| goxel-0.15.1-r0.apk | 1.7 MiB | 2024-Aug-31 16:53 |
| gotify-openrc-2.7.2-r2.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| gotify-cli-2.3.2-r10.apk | 4.2 MiB | 2025-Dec-24 10:53 |
| gotify-2.7.2-r2.apk | 10.5 MiB | 2025-Dec-24 10:53 |
| goshs-doc-1.1.3-r0.apk | 2.0 KiB | 2025-Dec-24 10:53 |
| goshs-1.1.3-r0.apk | 6.2 MiB | 2025-Dec-24 10:53 |
| goreman-0.3.15-r18.apk | 2.4 MiB | 2025-Dec-24 10:53 |
| goomwwm-1.0.0-r5.apk | 47.2 KiB | 2024-Sep-30 08:08 |
| gomp-1.0.0-r17.apk | 3.5 MiB | 2025-Dec-24 10:53 |
| godini-doc-1.0.0-r5.apk | 14.5 KiB | 2025-Dec-24 10:53 |
| godini-1.0.0-r5.apk | 1.4 MiB | 2025-Dec-24 10:53 |
| gobuster-3.8.0-r3.apk | 3.6 MiB | 2025-Dec-24 10:53 |
| go-tools-0.40.0-r0.apk | 44.1 MiB | 2025-Dec-24 10:53 |
| go-passbolt-cli-0.3.2-r8.apk | 6.0 MiB | 2025-Dec-24 10:53 |
| go-mtpfs-1.0.0-r32.apk | 1.2 MiB | 2025-Dec-24 10:53 |
| go-jsonnet-0.21.0-r5.apk | 6.3 MiB | 2025-Dec-24 10:53 |
| go-away-openrc-0.7.0-r1.apk | 2.0 KiB | 2025-Sep-26 04:01 |
| go-away-0.7.0-r1.apk | 7.8 MiB | 2025-Sep-26 04:01 |
| gnucobol-lang-3.2-r0.apk | 315.8 KiB | 2025-Jul-28 14:05 |
| gnucobol-doc-3.2-r0.apk | 70.5 KiB | 2025-Jul-28 14:05 |
| gnucobol-3.2-r0.apk | 1.0 MiB | 2025-Jul-28 14:05 |
| gnu-apl-doc-1.9-r0.apk | 1.6 MiB | 2024-Jul-10 03:12 |
| gnu-apl-dev-1.9-r0.apk | 893.3 KiB | 2024-Jul-10 03:12 |
| gnu-apl-1.9-r0.apk | 1.3 MiB | 2024-Jul-10 03:12 |
| gnome-user-share-systemd-48.2-r0.apk | 1.5 KiB | 2025-Nov-23 13:07 |
| gnome-user-share-lang-48.2-r0.apk | 67.7 KiB | 2025-Nov-23 13:07 |
| gnome-user-share-48.2-r0.apk | 326.2 KiB | 2025-Nov-23 13:07 |
| gnome-mimeapps-0.1-r1.apk | 3.4 KiB | 2025-Aug-10 16:56 |
| gnome-metronome-lang-1.3.0-r0.apk | 24.4 KiB | 2023-Dec-14 16:55 |
| gnome-metronome-1.3.0-r0.apk | 472.7 KiB | 2023-Dec-14 16:55 |
| gnome-mahjongg-lang-49.0.1-r0.apk | 170.1 KiB | 2025-Oct-18 21:17 |
| gnome-mahjongg-doc-49.0.1-r0.apk | 1.9 KiB | 2025-Oct-18 21:17 |
| gnome-mahjongg-49.0.1-r0.apk | 2.4 MiB | 2025-Oct-18 21:17 |
| gnome-common-3.18.0-r3.apk | 11.3 KiB | 2023-Jan-29 21:05 |
| gmsh-py-4.15.0-r0.apk | 6.5 KiB | 2025-Nov-01 00:28 |
| gmsh-doc-4.15.0-r0.apk | 1.9 MiB | 2025-Nov-01 00:28 |
| gmsh-dbg-4.15.0-r0.apk | 150.5 MiB | 2025-Nov-01 00:28 |
| gmsh-4.15.0-r0.apk | 8.5 MiB | 2025-Nov-01 00:28 |
| gmid-openrc-2.1.1-r0.apk | 1.9 KiB | 2024-Nov-27 19:26 |
| gmid-doc-2.1.1-r0.apk | 14.2 KiB | 2024-Nov-27 19:26 |
| gmid-2.1.1-r0.apk | 231.0 KiB | 2024-Nov-27 19:26 |
| gmic-qt-3.6.0-r1.apk | 1.6 MiB | 2025-Oct-26 23:29 |
| gmic-libs-3.6.0-r1.apk | 3.2 MiB | 2025-Oct-26 23:29 |
| gmic-doc-3.6.0-r1.apk | 222.6 KiB | 2025-Oct-26 23:29 |
| gmic-dev-3.6.0-r1.apk | 7.4 KiB | 2025-Oct-26 23:29 |
| gmic-bash-completion-3.6.0-r1.apk | 28.4 KiB | 2025-Oct-26 23:29 |
| gmic-3.6.0-r1.apk | 12.3 MiB | 2025-Oct-26 23:29 |
| gmenuharness-dev-0.1.4-r2.apk | 3.8 KiB | 2025-Feb-17 12:15 |
| gmenuharness-0.1.4-r2.apk | 37.1 KiB | 2025-Feb-17 12:15 |
| gmcapsule-pyc-0.9.8-r0.apk | 60.5 KiB | 2025-Oct-07 09:40 |
| gmcapsule-openrc-0.9.8-r0.apk | 1.7 KiB | 2025-Oct-07 09:40 |
| gmcapsule-0.9.8-r0.apk | 35.9 KiB | 2025-Oct-07 09:40 |
| glslviewer-3.2.4-r2.apk | 1.7 MiB | 2025-Aug-28 19:38 |
| glow-zsh-completion-2.1.1-r5.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| glow-fish-completion-2.1.1-r5.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| glow-doc-2.1.1-r5.apk | 2.9 KiB | 2025-Dec-24 10:53 |
| glow-bash-completion-2.1.1-r5.apk | 5.8 KiB | 2025-Dec-24 10:53 |
| glow-2.1.1-r5.apk | 5.9 MiB | 2025-Dec-24 10:53 |
| gloox-dev-1.0.28-r0.apk | 1.6 MiB | 2023-Dec-14 16:55 |
| gloox-1.0.28-r0.apk | 380.1 KiB | 2023-Dec-14 16:55 |
| glmark2-doc-2023.01-r1.apk | 12.5 KiB | 2024-Jul-12 03:34 |
| glmark2-2023.01-r1.apk | 8.0 MiB | 2024-Jul-12 03:34 |
| gliderlabs-sigil-doc-0.11.0-r10.apk | 2.2 KiB | 2025-Dec-24 10:53 |
| gliderlabs-sigil-0.11.0-r10.apk | 3.2 MiB | 2025-Dec-24 10:53 |
| glfw-wayland-dev-3.3.8-r3.apk | 45.4 KiB | 2023-Jul-06 17:21 |
| glfw-wayland-dbg-3.3.8-r3.apk | 193.4 KiB | 2023-Jul-06 17:21 |
| glfw-wayland-3.3.8-r3.apk | 64.6 KiB | 2023-Jul-06 17:21 |
| gkrellm-server-2.3.11-r0.apk | 51.1 KiB | 2025-Jan-08 22:37 |
| gkrellm-lang-2.3.11-r0.apk | 378.9 KiB | 2025-Jan-08 22:37 |
| gkrellm-doc-2.3.11-r0.apk | 18.3 KiB | 2025-Jan-08 22:37 |
| gkrellm-dev-2.3.11-r0.apk | 16.2 KiB | 2025-Jan-08 22:37 |
| gkrellm-2.3.11-r0.apk | 383.0 KiB | 2025-Jan-08 22:37 |
| git2json-pyc-0.2.3-r8.apk | 5.4 KiB | 2024-Apr-30 01:05 |
| git2json-0.2.3-r8.apk | 7.1 KiB | 2024-Apr-30 01:05 |
| git-secret-doc-0.5.0-r0.apk | 16.8 KiB | 2022-Oct-28 14:18 |
| git-secret-0.5.0-r0.apk | 14.5 KiB | 2022-Oct-28 14:18 |
| git-revise-pyc-0.7.0-r5.apk | 41.9 KiB | 2024-Aug-07 01:50 |
| git-revise-doc-0.7.0-r5.apk | 4.7 KiB | 2024-Aug-07 01:50 |
| git-revise-0.7.0-r5.apk | 24.0 KiB | 2024-Aug-07 01:50 |
| git-quick-stats-doc-2.8.0-r0.apk | 3.2 KiB | 2025-Sep-26 04:01 |
| git-quick-stats-2.8.0-r0.apk | 15.0 KiB | 2025-Sep-26 04:01 |
| git-graph-doc-0.6.0-r0.apk | 6.0 KiB | 2024-Nov-25 23:44 |
| git-graph-0.6.0-r0.apk | 846.7 KiB | 2024-Nov-25 23:44 |
| git-extras-doc-7.4.0-r0.apk | 64.9 KiB | 2025-Jul-23 02:57 |
| git-extras-bash-completion-7.4.0-r0.apk | 2.6 KiB | 2025-Jul-23 02:57 |
| git-extras-7.4.0-r0.apk | 56.8 KiB | 2025-Jul-23 02:57 |
| git-bug-zsh-completion-0.8.1-r6.apk | 3.8 KiB | 2025-Dec-24 10:53 |
| git-bug-fish-completion-0.8.1-r6.apk | 4.1 KiB | 2025-Dec-24 10:53 |
| git-bug-doc-0.8.1-r6.apk | 16.6 KiB | 2025-Dec-24 10:53 |
| git-bug-bash-completion-0.8.1-r6.apk | 5.0 KiB | 2025-Dec-24 10:53 |
| git-bug-0.8.1-r6.apk | 9.8 MiB | 2025-Dec-24 10:53 |
| ginac-doc-1.8.9-r0.apk | 97.3 KiB | 2025-May-25 08:06 |
| ginac-dev-1.8.9-r0.apk | 67.9 KiB | 2025-May-25 08:06 |
| ginac-1.8.9-r0.apk | 1.1 MiB | 2025-May-25 08:06 |
| gimp-plugin-gmic-3.6.0-r1.apk | 1.3 MiB | 2025-Oct-26 23:29 |
| ghq-zsh-completion-1.8.0-r6.apk | 2.2 KiB | 2025-Dec-24 10:53 |
| ghq-fish-completion-1.8.0-r6.apk | 2.3 KiB | 2025-Dec-24 10:53 |
| ghq-doc-1.8.0-r6.apk | 5.2 KiB | 2025-Dec-24 10:53 |
| ghq-bash-completion-1.8.0-r6.apk | 1.5 KiB | 2025-Dec-24 10:53 |
| ghq-1.8.0-r6.apk | 3.6 MiB | 2025-Dec-24 10:53 |
| ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk | 30.6 KiB | 2025-Nov-17 11:34 |
| ghc-release-cabal-3.17.0.0_pre20251116-r0.apk | 12.4 MiB | 2025-Nov-17 11:34 |
| ghc-filesystem-1.5.14-r0.apk | 38.4 KiB | 2024-Jun-25 11:42 |
| gfan-0.6.2-r1.apk | 1.6 MiB | 2023-Aug-19 19:23 |
| gf2x-dev-1.3.0-r1.apk | 87.3 KiB | 2024-Aug-29 10:07 |
| gf2x-1.3.0-r1.apk | 39.1 KiB | 2024-Aug-29 10:07 |
| getting-things-gnome-lang-0.6-r4.apk | 228.5 KiB | 2024-Dec-09 00:30 |
| getting-things-gnome-doc-0.6-r4.apk | 497.1 KiB | 2024-Dec-09 00:30 |
| getting-things-gnome-0.6-r4.apk | 714.7 KiB | 2024-Dec-09 00:30 |
| getssl-2.48-r0.apk | 81.9 KiB | 2024-Mar-03 07:17 |
| getmail6-pyc-6.19.10-r0.apk | 103.0 KiB | 2025-Aug-19 23:17 |
| getmail6-doc-6.19.10-r0.apk | 138.2 KiB | 2025-Aug-19 23:17 |
| getmail6-6.19.10-r0.apk | 70.1 KiB | 2025-Aug-19 23:17 |
| geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-Jun-22 10:59 |
| geonames-doc-0.3.1-r2.apk | 12.3 KiB | 2024-Jun-22 10:59 |
| geonames-dev-0.3.1-r2.apk | 2.8 KiB | 2024-Jun-22 10:59 |
| geonames-0.3.1-r2.apk | 826.6 KiB | 2024-Jun-22 10:59 |
| geomyidae-openrc-0.34-r2.apk | 1.7 KiB | 2022-Oct-25 13:04 |
| geomyidae-doc-0.34-r2.apk | 7.4 KiB | 2022-Oct-25 13:04 |
| geomyidae-0.34-r2.apk | 12.9 KiB | 2022-Oct-25 13:04 |
| geodns-openrc-3.3.0-r18.apk | 1.5 KiB | 2025-Dec-24 10:53 |
| geodns-logs-3.3.0-r18.apk | 4.3 MiB | 2025-Dec-24 10:53 |
| geodns-3.3.0-r18.apk | 4.7 MiB | 2025-Dec-24 10:53 |
| geoclue-stumbler-1.1-r0.apk | 40.9 KiB | 2025-Oct-04 17:09 |
| genact-1.4.2-r0.apk | 1.3 MiB | 2024-Mar-03 07:17 |
| gede-2.22.1-r0.apk | 385.8 KiB | 2025-Nov-03 10:01 |
| gearmand-openrc-1.1.22-r0.apk | 1.5 KiB | 2025-Sep-26 04:01 |
| gearmand-doc-1.1.22-r0.apk | 188.8 KiB | 2025-Sep-26 04:01 |
| gearmand-1.1.22-r0.apk | 166.6 KiB | 2025-Sep-26 04:01 |
| gearman-libs-1.1.22-r0.apk | 79.1 KiB | 2025-Sep-26 04:01 |
| gearman-dev-1.1.22-r0.apk | 2.1 MiB | 2025-Sep-26 04:01 |
| gdcm-dev-3.2.2-r3.apk | 449.7 KiB | 2025-Dec-24 10:53 |
| gdcm-3.2.2-r3.apk | 408.9 KiB | 2025-Dec-24 10:53 |
| gcli-doc-2.9.1-r0.apk | 37.4 KiB | 2025-Nov-09 19:49 |
| gcli-2.9.1-r0.apk | 132.2 KiB | 2025-Nov-09 19:49 |
| gb-0.4.4-r36.apk | 6.9 MiB | 2025-Dec-24 10:53 |
| gaupol-pyc-1.12-r2.apk | 419.0 KiB | 2024-Apr-30 01:05 |
| gaupol-lang-1.12-r2.apk | 276.4 KiB | 2024-Apr-30 01:05 |
| gaupol-doc-1.12-r2.apk | 2.1 KiB | 2024-Apr-30 01:05 |
| gaupol-1.12-r2.apk | 275.9 KiB | 2024-Apr-30 01:05 |
| gatling-openrc-0.16-r6.apk | 2.5 KiB | 2024-Sep-24 18:24 |
| gatling-doc-0.16-r6.apk | 8.8 KiB | 2024-Sep-24 18:24 |
| gatling-0.16-r6.apk | 143.1 KiB | 2024-Sep-24 18:24 |
| gammastep-pyc-2.0.9-r3.apk | 16.6 KiB | 2024-Apr-30 01:05 |
| gammastep-lang-2.0.9-r3.apk | 77.3 KiB | 2024-Apr-30 01:05 |
| gammastep-doc-2.0.9-r3.apk | 13.8 KiB | 2024-Apr-30 01:05 |
| gammastep-2.0.9-r3.apk | 89.1 KiB | 2024-Apr-30 01:05 |
| gamemode-doc-1.8.2-r0.apk | 7.3 KiB | 2025-Feb-06 02:27 |
| gamemode-dev-1.8.2-r0.apk | 4.8 KiB | 2025-Feb-06 02:27 |
| gamemode-1.8.2-r0.apk | 73.5 KiB | 2025-Feb-06 02:27 |
| game-devices-udev-0.25-r0.apk | 6.6 KiB | 2025-Oct-26 23:29 |
| gambit-doc-4.9.5-r1.apk | 4.1 KiB | 2025-Apr-07 10:55 |
| gambit-dev-4.9.5-r1.apk | 9.6 MiB | 2025-Apr-07 10:55 |
| gambit-4.9.5-r1.apk | 9.5 MiB | 2025-Apr-07 10:55 |
| fynedesk-0.4.0-r2.apk | 12.8 MiB | 2025-Dec-24 10:53 |
| fxload-2008.10.13-r0.apk | 8.0 KiB | 2025-Dec-24 10:53 |
| fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-Jul-10 03:12 |
| fxfloorboard-katana-mk2-20240515-r1.apk | 5.6 MiB | 2024-Jul-10 03:12 |
| fuzzylite-libs-6.0-r2.apk | 359.8 KiB | 2025-Jan-31 22:07 |
| fuzzylite-doc-6.0-r2.apk | 1.8 KiB | 2025-Jan-31 22:07 |
| fuzzylite-dev-6.0-r2.apk | 68.2 KiB | 2025-Jan-31 22:07 |
| fuzzylite-6.0-r2.apk | 3.8 KiB | 2025-Jan-31 22:07 |
| fusesoc-pyc-2.3-r0.apk | 89.0 KiB | 2024-Jul-23 01:14 |
| fusesoc-2.3-r0.apk | 46.0 KiB | 2024-Jul-23 01:14 |
| fusee-nano-udev-0.5.3-r1.apk | 1.4 KiB | 2024-Sep-30 12:42 |
| fusee-nano-0.5.3-r1.apk | 20.5 KiB | 2024-Sep-30 12:42 |
| fungw-tcl-1.2.2-r0.apk | 19.0 KiB | 2025-Sep-26 04:01 |
| fungw-python3-1.2.2-r0.apk | 38.6 KiB | 2025-Sep-26 04:01 |
| fungw-perl-1.2.2-r0.apk | 69.1 KiB | 2025-Sep-26 04:01 |
| fungw-mujs-1.2.2-r0.apk | 24.3 KiB | 2025-Sep-26 04:01 |
| fungw-lua-1.2.2-r0.apk | 21.4 KiB | 2025-Sep-26 04:01 |
| fungw-fawk-1.2.2-r0.apk | 213.6 KiB | 2025-Sep-26 04:01 |
| fungw-duktape-1.2.2-r0.apk | 25.0 KiB | 2025-Sep-26 04:01 |
| fungw-doc-1.2.2-r0.apk | 12.9 KiB | 2025-Sep-26 04:01 |
| fungw-dev-1.2.2-r0.apk | 7.6 KiB | 2025-Sep-26 04:01 |
| fungw-cli-1.2.2-r0.apk | 36.3 KiB | 2025-Sep-26 04:01 |
| fungw-c-1.2.2-r0.apk | 9.5 KiB | 2025-Sep-26 04:01 |
| fungw-1.2.2-r0.apk | 14.0 KiB | 2025-Sep-26 04:01 |
| freshrss-themes-1.27.1-r0.apk | 1.5 MiB | 2025-Nov-03 12:19 |
| freshrss-sqlite-1.27.1-r0.apk | 1.0 KiB | 2025-Nov-03 12:19 |
| freshrss-pgsql-1.27.1-r0.apk | 1.0 KiB | 2025-Nov-03 12:19 |
| freshrss-openrc-1.27.1-r0.apk | 2.2 KiB | 2025-Nov-03 12:19 |
| freshrss-mysql-1.27.1-r0.apk | 1.0 KiB | 2025-Nov-03 12:19 |
| freshrss-lang-1.27.1-r0.apk | 498.7 KiB | 2025-Nov-03 12:19 |
| freshrss-doc-1.27.1-r0.apk | 846.0 KiB | 2025-Nov-03 12:19 |
| freshrss-1.27.1-r0.apk | 1.6 MiB | 2025-Nov-03 12:19 |
| frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-Apr-30 01:05 |
| frescobaldi-doc-3.3.0-r1.apk | 2.2 KiB | 2024-Apr-30 01:05 |
| frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-Apr-30 01:05 |
| freediameter-libfdproto-1.5.0-r1.apk | 81.8 KiB | 2022-Nov-06 11:01 |
| freediameter-libfdcore-1.5.0-r1.apk | 156.2 KiB | 2022-Nov-06 11:01 |
| freediameter-extensions-1.5.0-r1.apk | 356.7 KiB | 2022-Nov-06 11:01 |
| freediameter-dev-1.5.0-r1.apk | 53.5 KiB | 2022-Nov-06 11:01 |
| freediameter-1.5.0-r1.apk | 8.2 KiB | 2022-Nov-06 11:01 |
| freealut-dev-1.1.0-r1.apk | 26.7 KiB | 2022-Oct-07 06:49 |
| freealut-1.1.0-r1.apk | 15.9 KiB | 2022-Oct-07 06:49 |
| fq-0.16.0-r0.apk | 4.3 MiB | 2025-Dec-24 10:53 |
| fpp-doc-0.9.5-r0.apk | 5.6 KiB | 2022-Mar-01 19:44 |
| fpp-0.9.5-r0.apk | 29.3 KiB | 2022-Mar-01 19:44 |
| fplll-strategies-5.5.0-r0.apk | 1.7 MiB | 2024-Nov-17 05:00 |
| fplll-static-5.5.0-r0.apk | 13.3 MiB | 2024-Nov-17 05:00 |
| fplll-libs-5.5.0-r0.apk | 6.4 MiB | 2024-Nov-17 05:00 |
| fplll-dev-5.5.0-r0.apk | 77.7 KiB | 2024-Nov-17 05:00 |
| fplll-5.5.0-r0.apk | 46.0 KiB | 2024-Nov-17 05:00 |
| formiko-pyc-1.5.0-r0.apk | 61.3 KiB | 2025-Aug-15 09:08 |
| formiko-doc-1.5.0-r0.apk | 8.3 KiB | 2025-Aug-15 09:08 |
| formiko-1.5.0-r0.apk | 106.7 KiB | 2025-Aug-15 09:08 |
| foolsm-openrc-1.0.21-r0.apk | 1.5 KiB | 2022-May-22 15:02 |
| foolsm-doc-1.0.21-r0.apk | 3.9 KiB | 2022-May-22 15:02 |
| foolsm-1.0.21-r0.apk | 30.8 KiB | 2022-May-22 15:02 |
| font-tiresias-doc-0_git20200704-r0.apk | 57.9 KiB | 2023-Jan-02 22:53 |
| font-tiresias-0_git20200704-r0.apk | 568.0 KiB | 2023-Jan-02 22:53 |
| font-tinos-0_git20210228-r0.apk | 198.4 KiB | 2024-Mar-03 07:17 |
| font-terminus-ttf-4.49.3-r0.apk | 537.6 KiB | 2025-Jul-16 12:25 |
| font-tamzen-1.11.5-r1.apk | 61.6 KiB | 2022-Oct-13 04:22 |
| font-stix-ttf-2.13-r0.apk | 429.8 KiB | 2024-Mar-03 07:17 |
| font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-Mar-03 07:17 |
| font-siji-20190218_git-r2.apk | 24.2 KiB | 2022-Oct-13 04:22 |
| font-openmoji-16.0.0-r0.apk | 1.4 MiB | 2025-Aug-11 06:31 |
| font-monocraft-4.0-r0.apk | 676.5 KiB | 2024-Aug-16 01:55 |
| font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-Sep-28 12:08 |
| font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-Sep-28 12:08 |
| font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-Sep-28 12:08 |
| font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-Sep-28 12:08 |
| font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-Sep-28 12:08 |
| font-monaspace-1.101-r0.apk | 1.2 KiB | 2024-Sep-28 12:08 |
| font-material-icons-4.0.0-r0.apk | 651.6 KiB | 2023-Feb-26 19:50 |
| font-katex-0.16.2-r0.apk | 851.3 KiB | 2022-Oct-07 06:49 |
| font-intel-one-mono-1.3.0-r0.apk | 281.2 KiB | 2023-Sep-17 23:29 |
| font-hanazono-20170904-r2.apk | 28.9 MiB | 2025-Sep-26 04:01 |
| font-fontawesome-4-4.7.0-r3.apk | 204.8 KiB | 2022-Oct-13 04:22 |
| font-firamath-0.3.4-r0.apk | 118.1 KiB | 2022-Oct-07 06:49 |
| font-fira-code-vf-6.2-r0.apk | 144.8 KiB | 2022-Oct-07 06:49 |
| font-fira-code-6.2-r0.apk | 835.7 KiB | 2022-Oct-07 06:49 |
| font-fantasque-sans-normal-1.8.0-r0.apk | 315.8 KiB | 2021-Jul-27 08:10 |
| font-fantasque-sans-noloopk-1.8.0-r0.apk | 315.8 KiB | 2021-Jul-27 08:10 |
| font-fantasque-sans-largelineheightnoloopk-1.8.0..> | 315.8 KiB | 2021-Jul-27 08:10 |
| font-fantasque-sans-largelineheight-1.8.0-r0.apk | 315.8 KiB | 2021-Jul-27 08:10 |
| font-fantasque-sans-doc-1.8.0-r0.apk | 5.5 KiB | 2021-Jul-27 08:10 |
| font-fantasque-sans-1.8.0-r0.apk | 1.2 KiB | 2021-Jul-27 08:10 |
| font-cousine-0_git20210228-r0.apk | 109.7 KiB | 2024-Mar-03 07:17 |
| font-commit-mono-1.143-r0.apk | 251.0 KiB | 2023-Dec-31 15:48 |
| font-comic-neue-doc-2.51-r0.apk | 1004.1 KiB | 2021-Jul-27 08:10 |
| font-comic-neue-2.51-r0.apk | 248.8 KiB | 2021-Jul-27 08:10 |
| font-chivo-mono-0_git20221110-r0.apk | 625.6 KiB | 2022-Dec-11 10:47 |
| font-chivo-0_git20221110-r0.apk | 792.1 KiB | 2022-Dec-11 10:47 |
| font-cascadia-mono-2407.24-r1.apk | 506.6 KiB | 2025-May-27 17:47 |
| font-cascadia-code-2407.24-r1.apk | 525.9 KiB | 2025-May-27 17:47 |
| font-cascadia-2407.24-r1.apk | 1.0 KiB | 2025-May-27 17:47 |
| font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-Jan-10 22:28 |
| font-aref-ruqaa-1.006-r0.apk | 357.0 KiB | 2025-Apr-15 14:08 |
| font-anonymous-pro-1.002-r2.apk | 264.2 KiB | 2022-Oct-13 04:22 |
| font-andika-six-6.210-r0.apk | 1.5 MiB | 2025-Sep-28 13:52 |
| foma-dev-0.10.0_git20240712-r0.apk | 8.2 KiB | 2024-Sep-28 03:57 |
| foma-0.10.0_git20240712-r0.apk | 326.0 KiB | 2024-Sep-28 03:57 |
| fnf-doc-0.1-r0.apk | 4.3 KiB | 2024-Mar-09 07:45 |
| fnf-0.1-r0.apk | 16.0 KiB | 2024-Mar-09 07:45 |
| flowd-openrc-0.9.1-r11.apk | 1.6 KiB | 2025-Jul-01 13:33 |
| flowd-doc-0.9.1-r11.apk | 9.8 KiB | 2025-Jul-01 13:33 |
| flowd-dev-0.9.1-r11.apk | 7.8 KiB | 2025-Jul-01 13:33 |
| flowd-0.9.1-r11.apk | 83.8 KiB | 2025-Jul-01 13:33 |
| flint-dev-3.4.0-r0.apk | 315.6 KiB | 2025-Nov-26 09:16 |
| flint-3.4.0-r0.apk | 4.6 MiB | 2025-Nov-26 09:16 |
| flawz-zsh-completion-0.3.0-r0.apk | 2.0 KiB | 2024-Nov-03 21:58 |
| flawz-fish-completion-0.3.0-r0.apk | 1.6 KiB | 2024-Nov-03 21:58 |
| flawz-doc-0.3.0-r0.apk | 5.7 KiB | 2024-Nov-03 21:58 |
| flawz-bash-completion-0.3.0-r0.apk | 1.9 KiB | 2024-Nov-03 21:58 |
| flawz-0.3.0-r0.apk | 1.2 MiB | 2024-Nov-03 21:58 |
| flauschige-uhr-0.1-r1.apk | 3.7 KiB | 2022-Oct-25 13:04 |
| flatseal-lang-2.3.1-r0.apk | 78.7 KiB | 2025-Jun-19 15:31 |
| flatseal-doc-2.3.1-r0.apk | 8.2 KiB | 2025-Jun-19 15:31 |
| flatseal-2.3.1-r0.apk | 42.6 KiB | 2025-Jun-19 15:31 |
| flare-game-1.14-r0.apk | 1.9 KiB | 2023-Jan-26 19:34 |
| flare-engine-doc-1.14-r1.apk | 2.2 KiB | 2025-Nov-06 10:52 |
| flare-engine-1.14-r1.apk | 4.4 MiB | 2025-Nov-06 10:52 |
| flann-doc-1.9.2-r1.apk | 2.3 KiB | 2025-Feb-17 12:15 |
| flann-dev-1.9.2-r1.apk | 2.7 MiB | 2025-Feb-17 12:15 |
| flann-1.9.2-r1.apk | 1.8 MiB | 2025-Feb-17 12:15 |
| flang-next-static-22.0.0_pre20251108-r0.apk | 71.5 MiB | 2025-Nov-09 12:13 |
| flang-next-dev-22.0.0_pre20251108-r0.apk | 1.1 MiB | 2025-Nov-09 12:13 |
| flang-next-22.0.0_pre20251108-r0.apk | 23.8 MiB | 2025-Nov-09 12:13 |
| firehol-openrc-3.1.7-r2.apk | 1.8 KiB | 2023-May-21 12:09 |
| firehol-doc-3.1.7-r2.apk | 674.3 KiB | 2023-May-21 12:09 |
| firehol-3.1.7-r2.apk | 84.5 KiB | 2023-May-21 12:09 |
| finger-doc-0.5-r0.apk | 3.5 KiB | 2024-Mar-09 09:12 |
| finger-0.5-r0.apk | 6.3 KiB | 2024-Mar-09 09:12 |
| findtow-0.1-r0.apk | 4.3 KiB | 2022-Jan-27 01:33 |
| fileshelter-openrc-6.2.0-r4.apk | 1.4 KiB | 2025-Sep-28 08:08 |
| fileshelter-6.2.0-r4.apk | 309.9 KiB | 2025-Sep-28 08:08 |
| filebrowser-openrc-2.27.0-r16.apk | 1.6 KiB | 2025-Dec-24 10:53 |
| filebrowser-2.27.0-r16.apk | 7.3 MiB | 2025-Dec-24 10:53 |
| filebeat-openrc-9.2.0-r1.apk | 1.8 KiB | 2025-Dec-24 10:53 |
| filebeat-9.2.0-r1.apk | 36.7 MiB | 2025-Dec-24 10:53 |
| fildesh-vim-0.2.0-r0.apk | 3.3 KiB | 2024-Sep-30 12:42 |
| fildesh-doc-0.2.0-r0.apk | 1.8 KiB | 2024-Sep-30 12:42 |
| fildesh-0.2.0-r0.apk | 67.7 KiB | 2024-Sep-30 12:42 |
| fheroes2-lang-1.1.13-r0.apk | 1.8 MiB | 2025-Dec-24 10:53 |
| fheroes2-1.1.13-r0.apk | 1.7 MiB | 2025-Dec-24 10:53 |
| ffms2-doc-5.0-r2.apk | 30.0 KiB | 2025-Sep-28 04:37 |
| ffms2-dev-5.0-r2.apk | 7.3 KiB | 2025-Sep-28 04:37 |
| ffms2-5.0-r2.apk | 69.8 KiB | 2025-Sep-28 04:37 |
| ffmpeg4-libswscale-4.4.5-r4.apk | 166.8 KiB | 2025-Oct-19 15:26 |
| ffmpeg4-libswresample-4.4.5-r4.apk | 42.4 KiB | 2025-Oct-19 15:26 |
| ffmpeg4-libpostproc-4.4.5-r4.apk | 26.9 KiB | 2025-Oct-19 15:26 |
| ffmpeg4-libavutil-4.4.5-r4.apk | 318.1 KiB | 2025-Oct-19 15:26 |
| ffmpeg4-libavformat-4.4.5-r4.apk | 1.2 MiB | 2025-Oct-19 15:26 |
| ffmpeg4-libavfilter-4.4.5-r4.apk | 1.6 MiB | 2025-Oct-19 15:26 |
| ffmpeg4-libavdevice-4.4.5-r4.apk | 47.2 KiB | 2025-Oct-19 15:26 |
| ffmpeg4-libavcodec-4.4.5-r4.apk | 6.8 MiB | 2025-Oct-19 15:26 |
| ffmpeg4-dev-4.4.5-r4.apk | 280.5 KiB | 2025-Oct-19 15:26 |
| ffmpeg4-4.4.5-r4.apk | 31.6 KiB | 2025-Oct-19 15:26 |
| fflas-ffpack-2.5.0-r3.apk | 345.1 KiB | 2023-Aug-19 19:23 |
| fff-doc-2.2-r0.apk | 9.0 KiB | 2022-Jun-15 20:50 |
| fff-2.2-r0.apk | 10.7 KiB | 2022-Jun-15 20:50 |
| femto-doc-2.24.1-r0.apk | 47.3 KiB | 2025-Sep-26 04:01 |
| femto-2.24.1-r0.apk | 70.2 KiB | 2025-Sep-26 04:01 |
| featherpad-lang-1.6.2-r0.apk | 484.9 KiB | 2025-Nov-29 12:12 |
| featherpad-1.6.2-r0.apk | 684.3 KiB | 2025-Nov-29 12:12 |
| fdm-materials-5.2.2-r1.apk | 59.4 KiB | 2024-Jun-17 08:19 |
| fceux-doc-2.6.6-r4.apk | 104.4 KiB | 2025-Sep-28 04:37 |
| fceux-2.6.6-r4.apk | 2.9 MiB | 2025-Sep-28 04:37 |
| fbdebug-1.0.1-r0.apk | 5.0 KiB | 2025-Dec-24 10:53 |
| fbcur-doc-1.0.1-r1.apk | 1.9 KiB | 2024-Oct-23 13:31 |
| fbcur-1.0.1-r1.apk | 4.1 KiB | 2024-Oct-23 13:31 |
| fava-pyc-1.28-r0.apk | 163.8 KiB | 2024-Jul-23 01:14 |
| fava-1.28-r0.apk | 1.1 MiB | 2024-Jul-23 01:14 |
| faust-vim-2.79.3-r0.apk | 2.3 KiB | 2025-Jun-08 21:31 |
| faust-tools-2.79.3-r0.apk | 119.4 KiB | 2025-Jun-08 21:31 |
| faust-static-2.79.3-r0.apk | 985.8 KiB | 2025-Jun-08 21:31 |
| faust-doc-2.79.3-r0.apk | 16.7 MiB | 2025-Jun-08 21:31 |
| faust-dev-2.79.3-r0.apk | 1.4 MiB | 2025-Jun-08 21:31 |
| faust-2.79.3-r0.apk | 7.9 MiB | 2025-Jun-08 21:31 |
| faultstat-doc-0.01.11-r0.apk | 2.8 KiB | 2024-Jan-13 12:45 |
| faultstat-bash-completion-0.01.11-r0.apk | 2.0 KiB | 2024-Jan-13 12:45 |
| faultstat-0.01.11-r0.apk | 11.8 KiB | 2024-Jan-13 12:45 |
| fatresize-doc-1.1.0-r1.apk | 14.9 KiB | 2022-Oct-25 13:04 |
| fatresize-1.1.0-r1.apk | 7.4 KiB | 2022-Oct-25 13:04 |
| fatrace-doc-0.18.0-r0.apk | 3.1 KiB | 2025-Jul-27 19:39 |
| fatrace-0.18.0-r0.apk | 9.7 KiB | 2025-Jul-27 19:39 |
| fathom-1.3.1-r18.apk | 4.7 MiB | 2025-Dec-24 10:53 |
| fatback-doc-1.3-r2.apk | 15.8 KiB | 2022-Oct-25 13:04 |
| fatback-1.3-r2.apk | 24.0 KiB | 2022-Oct-25 13:04 |
| fastd-openrc-23-r0.apk | 1.4 KiB | 2025-Jan-27 21:46 |
| fastd-doc-23-r0.apk | 3.0 KiB | 2025-Jan-27 21:46 |
| fastd-23-r0.apk | 72.4 KiB | 2025-Jan-27 21:46 |
| fast-double-parser-0.8.1-r0.apk | 25.1 KiB | 2025-Oct-20 11:11 |
| fakeroot-tcp-1.32.1-r1.apk | 32.3 KiB | 2023-Aug-19 19:23 |
| fabric-pyc-3.2.2-r1.apk | 59.9 KiB | 2024-Apr-30 01:05 |
| fabric-3.2.2-r1.apk | 54.4 KiB | 2024-Apr-30 01:05 |
| extundelete-0.2.4-r1.apk | 38.8 KiB | 2022-Oct-14 19:59 |
| extremetuxracer-doc-0.8.3-r0.apk | 6.4 KiB | 2023-Jul-25 13:16 |
| extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2023-Jul-25 13:16 |
| extrace-doc-0.9-r0.apk | 3.3 KiB | 2024-Apr-30 01:05 |
| extrace-0.9-r0.apk | 9.6 KiB | 2024-Apr-30 01:05 |
| exercism-zsh-completion-3.2.0-r18.apk | 1.9 KiB | 2025-Dec-24 10:53 |
| exercism-fish-completion-3.2.0-r18.apk | 2.1 KiB | 2025-Dec-24 10:53 |
| exercism-bash-completion-3.2.0-r18.apk | 1.7 KiB | 2025-Dec-24 10:53 |
| exercism-3.2.0-r18.apk | 4.0 MiB | 2025-Dec-24 10:53 |
| exabgp-pyc-4.2.24-r1.apk | 778.0 KiB | 2025-Sep-26 04:01 |
| exabgp-openrc-4.2.24-r1.apk | 2.0 KiB | 2025-Sep-26 04:01 |
| exabgp-doc-4.2.24-r1.apk | 7.8 KiB | 2025-Sep-26 04:01 |
| exabgp-4.2.24-r1.apk | 384.6 KiB | 2025-Sep-26 04:01 |
| eww-0.6.0-r0.apk | 3.1 MiB | 2025-Nov-09 21:41 |
| evolution-on-3.24.4-r1.apk | 9.9 KiB | 2025-Sep-26 04:01 |
| ettercap-doc-0.8.3.1-r3.apk | 44.9 KiB | 2024-Jul-13 03:59 |
| ettercap-0.8.3.1-r3.apk | 562.5 KiB | 2024-Jul-13 03:59 |
| esptool-pyc-4.8.1-r0.apk | 549.1 KiB | 2024-Oct-05 12:52 |
| esptool-4.8.1-r0.apk | 423.8 KiB | 2024-Oct-05 12:52 |
| espeakup-openrc-0.90-r2.apk | 1.6 KiB | 2024-Mar-13 23:01 |
| espeakup-0.90-r2.apk | 11.5 KiB | 2024-Mar-13 23:01 |
| errands-lang-46.2.9-r0.apk | 72.8 KiB | 2025-Oct-26 23:29 |
| errands-46.2.9-r0.apk | 83.8 KiB | 2025-Oct-26 23:29 |
| ergo-ldap-doc-0.0.1-r22.apk | 2.0 KiB | 2025-Dec-24 10:52 |
| ergo-ldap-0.0.1-r22.apk | 2.2 MiB | 2025-Dec-24 10:52 |
| epr-pyc-2.4.15-r1.apk | 24.1 KiB | 2024-Apr-30 01:05 |
| epr-2.4.15-r1.apk | 15.4 KiB | 2024-Apr-30 01:05 |
| epoch-1.3.0-r2.apk | 59.8 KiB | 2024-Sep-29 15:31 |
| epic6-script-0_git20250821-r0.apk | 148.7 KiB | 2025-Sep-26 04:01 |
| epic6-doc-0_git20250821-r0.apk | 16.9 KiB | 2025-Sep-26 04:01 |
| epic6-0_git20250821-r0.apk | 379.4 KiB | 2025-Sep-26 04:01 |
| envsubst-0.1-r1.apk | 4.1 KiB | 2022-Jul-04 14:23 |
| envconsul-0.13.4-r1.apk | 4.8 MiB | 2025-Dec-24 10:52 |
| enlighten-doc-0.9.2-r1.apk | 3.3 KiB | 2022-Oct-25 13:04 |
| enlighten-0.9.2-r1.apk | 6.0 KiB | 2022-Oct-25 13:04 |
| enjoy-0.3-r1.apk | 10.2 KiB | 2023-Feb-03 15:25 |
| endlessh-doc-1.1-r1.apk | 2.4 KiB | 2025-May-25 08:06 |
| endlessh-1.1-r1.apk | 8.6 KiB | 2025-May-25 08:06 |
| endeavour-lang-43.0-r2.apk | 202.9 KiB | 2024-Dec-09 00:30 |
| endeavour-doc-43.0-r2.apk | 67.8 KiB | 2024-Dec-09 00:30 |
| endeavour-dev-43.0-r2.apk | 45.3 KiB | 2024-Dec-09 00:30 |
| endeavour-43.0-r2.apk | 188.8 KiB | 2024-Dec-09 00:30 |
| emulationstation-theme-gbz35-2.11.2-r1.apk | 3.3 MiB | 2024-May-21 16:15 |
| emulationstation-2.11.2-r1.apk | 1.2 MiB | 2024-May-21 16:15 |
| empede-openrc-0.2.3-r0.apk | 1.7 KiB | 2024-Jan-07 01:56 |
| empede-doc-0.2.3-r0.apk | 2.0 KiB | 2024-Jan-07 01:56 |
| empede-0.2.3-r0.apk | 1.6 MiB | 2024-Jan-07 01:56 |
| emmylua-ls-doc-0.17.0-r0.apk | 36.5 KiB | 2025-Dec-24 10:52 |
| emmylua-ls-0.17.0-r0.apk | 2.9 MiB | 2025-Dec-24 10:52 |
| emmylua-doc-cli-0.17.0-r0.apk | 2.4 MiB | 2025-Dec-24 10:52 |
| emmylua-check-0.17.0-r0.apk | 1.9 MiB | 2025-Dec-24 10:52 |
| emacs-total-recall-examples-0_git20250426-r0.apk | 13.7 KiB | 2025-Apr-30 08:18 |
| emacs-total-recall-0_git20250426-r0.apk | 17.3 KiB | 2025-Apr-30 08:18 |
| emacs-taxy-magit-section-0.14.3-r0.apk | 17.4 KiB | 2025-Apr-23 05:44 |
| emacs-taxy-0.10.2-r0.apk | 11.2 KiB | 2025-Apr-23 05:44 |
| emacs-svg-lib-0_git20240219-r0.apk | 18.7 KiB | 2024-Apr-02 20:19 |
| emacs-sqlite3-api-0.18-r0.apk | 17.0 KiB | 2024-Aug-07 01:50 |
| emacs-powerline-2.4_git20221110-r0.apk | 28.7 KiB | 2024-Apr-02 20:19 |
| emacs-persist-0.6_git20240114-r0.apk | 6.4 KiB | 2024-Apr-02 20:19 |
| emacs-lsp-booster-doc-0.2.1-r0.apk | 2.0 KiB | 2025-Apr-15 14:08 |
| emacs-lsp-booster-0.2.1-r0.apk | 398.5 KiB | 2025-Apr-15 14:08 |
| emacs-llama-1.0.2-r0.apk | 12.3 KiB | 2025-Nov-02 22:22 |
| emacs-hydra-0.15.0_git20220910-r0.apk | 45.7 KiB | 2024-Apr-02 20:19 |
| emacs-hnreader-0_git20221116-r0.apk | 9.5 KiB | 2024-Apr-02 20:19 |
| emacs-helm-3.9.7_git20240329-r0.apk | 814.9 KiB | 2024-Apr-02 20:19 |
| emacs-hackernews-0.7.0-r0.apk | 15.3 KiB | 2024-Apr-02 20:19 |
| emacs-gnosis-0.3.2-r0.apk | 61.9 KiB | 2024-Aug-07 01:50 |
| emacs-fossil-0_git20230504-r0.apk | 14.4 KiB | 2024-May-07 08:33 |
| emacs-epkg-3.3.3_git20240713-r0.apk | 36.6 KiB | 2024-Aug-07 01:50 |
| emacs-ement-0.16-r0.apk | 290.4 KiB | 2025-Apr-23 05:12 |
| emacs-embark-consult-1.1-r0.apk | 10.2 KiB | 2025-Apr-23 05:44 |
| emacs-embark-1.1-r0.apk | 110.6 KiB | 2025-Apr-23 05:44 |
| emacs-emacsql-sqlite-module-3.1.1_git20240714-r0..> | 4.0 KiB | 2024-Aug-07 01:50 |
| emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17.0 KiB | 2024-Aug-07 01:50 |
| emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5.6 KiB | 2024-Aug-07 01:50 |
| emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 5.8 KiB | 2024-Aug-07 01:50 |
| emacs-emacsql-3.1.1_git20240714-r0.apk | 22.3 KiB | 2024-Aug-07 01:50 |
| emacs-elfeed-3.4.2-r0.apk | 90.3 KiB | 2025-Apr-23 05:12 |
| emacs-derl-0_git20231004-r1.apk | 23.2 KiB | 2025-Jul-29 04:40 |
| emacs-consult-1.4_git20240405-r0.apk | 137.5 KiB | 2024-Apr-30 01:05 |
| emacs-company-wubi-0_git20161031-r0.apk | 1.1 MiB | 2025-Dec-24 10:52 |
| emacs-company-1.0.2-r0.apk | 159.1 KiB | 2025-Dec-24 10:52 |
| emacs-closql-1.2.1_git20240712-r0.apk | 14.1 KiB | 2024-Aug-07 01:50 |
| emacs-centaur-tabs-3.2_git20230601-r0.apk | 54.8 KiB | 2024-Apr-02 20:19 |
| emacs-avy-embark-collect-1.1-r0.apk | 3.6 KiB | 2025-Apr-23 05:44 |
| emacs-avy-0.5.0_git20230420-r0.apk | 43.2 KiB | 2024-Apr-02 20:19 |
| emacs-ace-window-0.10.0_git20220911-r0.apk | 22.5 KiB | 2024-Apr-02 20:19 |
| elf_diff-pyc-0.7.1-r3.apk | 107.7 KiB | 2024-Aug-07 01:50 |
| elf_diff-0.7.1-r3.apk | 108.0 KiB | 2024-Aug-07 01:50 |
| elementary-videos-lang-8.0.2-r0.apk | 83.2 KiB | 2025-Sep-02 02:29 |
| elementary-videos-8.0.2-r0.apk | 112.9 KiB | 2025-Sep-02 02:29 |
| elementary-theme-8.1.0-r0.apk | 1.5 MiB | 2025-Jan-12 20:52 |
| elementary-sound-theme-1.1.0-r0.apk | 82.8 KiB | 2024-Nov-10 23:20 |
| elementary-settings-daemon-openrc-8.3.0-r0.apk | 1.5 KiB | 2025-May-26 19:38 |
| elementary-settings-daemon-lang-8.3.0-r0.apk | 73.8 KiB | 2025-May-26 19:38 |
| elementary-settings-daemon-8.3.0-r0.apk | 80.8 KiB | 2025-May-26 19:38 |
| elementary-music-lang-8.0.0-r0.apk | 47.2 KiB | 2024-Oct-28 22:07 |
| elementary-music-8.0.0-r0.apk | 72.3 KiB | 2024-Oct-28 22:07 |
| elementary-icon-theme-8.1.0-r0.apk | 5.0 MiB | 2025-May-15 20:19 |
| elementary-feedback-lang-8.1.0-r0.apk | 48.2 KiB | 2025-Nov-22 17:58 |
| elementary-feedback-8.1.0-r0.apk | 46.0 KiB | 2025-Nov-22 17:58 |
| elementary-dock-lang-8.0.2-r0.apk | 27.3 KiB | 2025-May-24 23:20 |
| elementary-dock-8.0.2-r0.apk | 87.6 KiB | 2025-May-24 23:20 |
| elementary-camera-lang-8.0.2-r0.apk | 34.9 KiB | 2025-Sep-02 02:26 |
| elementary-camera-8.0.2-r0.apk | 85.5 KiB | 2025-Sep-02 02:26 |
| elementary-calculator-lang-8.0.1-r0.apk | 59.2 KiB | 2025-Sep-02 02:30 |
| elementary-calculator-8.0.1-r0.apk | 70.0 KiB | 2025-Sep-02 02:30 |
| elastic-beats-9.2.0-r1.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| eiwd-openrc-3.10-r0.apk | 1.6 KiB | 2025-Oct-07 11:29 |
| eiwd-doc-3.10-r0.apk | 20.3 KiB | 2025-Oct-07 11:29 |
| eiwd-3.10-r0.apk | 846.2 KiB | 2025-Oct-07 11:29 |
| efl-gdb-1.28.1-r2.apk | 1.4 KiB | 2025-Mar-23 15:08 |
| efl-dev-1.28.1-r2.apk | 1.8 MiB | 2025-Mar-23 15:08 |
| efl-1.28.1-r2.apk | 33.8 MiB | 2025-Mar-23 15:08 |
| edward-doc-1.1.0-r0.apk | 5.0 KiB | 2024-Sep-04 18:45 |
| edward-1.1.0-r0.apk | 1.9 MiB | 2024-Sep-04 18:45 |
| edit-doc-1.2.1-r0.apk | 2.0 KiB | 2025-Oct-16 13:21 |
| edit-1.2.1-r0.apk | 253.8 KiB | 2025-Oct-16 13:21 |
| ecos-dev-2.0.10-r0.apk | 27.9 KiB | 2022-May-23 23:27 |
| ecos-2.0.10-r0.apk | 35.8 KiB | 2022-May-23 23:27 |
| eclib-static-20250627-r2.apk | 20.2 MiB | 2025-Nov-26 09:16 |
| eclib-libs-20250627-r2.apk | 1.1 MiB | 2025-Nov-26 09:16 |
| eclib-doc-20250627-r2.apk | 27.7 KiB | 2025-Nov-26 09:16 |
| eclib-dev-20250627-r2.apk | 95.5 KiB | 2025-Nov-26 09:16 |
| eclib-20250627-r2.apk | 313.8 KiB | 2025-Nov-26 09:16 |
| eccodes-2.44.0-r0.apk | 10.9 MiB | 2025-Oct-15 12:16 |
| ecasound-doc-2.9.3-r4.apk | 38.2 KiB | 2025-Feb-25 05:12 |
| ecasound-dev-2.9.3-r4.apk | 2.1 MiB | 2025-Feb-25 05:12 |
| ecasound-2.9.3-r4.apk | 692.0 KiB | 2025-Feb-25 05:12 |
| eatmemory-0.1.6-r2.apk | 3.7 KiB | 2022-Oct-25 13:04 |
| e16-lang-1.0.30-r0.apk | 379.4 KiB | 2024-Nov-05 13:42 |
| e16-doc-1.0.30-r0.apk | 27.0 KiB | 2024-Nov-05 13:42 |
| e16-1.0.30-r0.apk | 788.0 KiB | 2024-Nov-05 13:42 |
| dwl-doc-0.7-r0.apk | 2.9 KiB | 2024-Oct-05 07:31 |
| dwl-0.7-r0.apk | 27.3 KiB | 2024-Oct-05 07:31 |
| dvdbackup-lang-0.4.2-r1.apk | 1.2 KiB | 2022-Oct-14 19:59 |
| dvdbackup-doc-0.4.2-r1.apk | 7.3 KiB | 2022-Oct-14 19:59 |
| dvdbackup-0.4.2-r1.apk | 15.4 KiB | 2022-Oct-14 19:59 |
| dustracing2d-2.1.1-r1.apk | 5.1 MiB | 2022-Oct-25 13:04 |
| dum-0.1.20-r1.apk | 351.9 KiB | 2025-Aug-29 11:40 |
| dulcepan-1.0.2-r0.apk | 21.0 KiB | 2024-Sep-16 11:50 |
| duf-doc-0.9.1-r2.apk | 4.5 KiB | 2025-Dec-24 10:52 |
| duf-0.9.1-r2.apk | 1.2 MiB | 2025-Dec-24 10:52 |
| duc-doc-1.4.5-r0.apk | 8.8 KiB | 2023-Jun-27 16:30 |
| duc-1.4.5-r0.apk | 88.9 KiB | 2023-Jun-27 16:30 |
| dublin-traceroute-doc-0.4.2-r4.apk | 2.0 KiB | 2024-Oct-08 03:30 |
| dublin-traceroute-dev-0.4.2-r4.apk | 6.6 KiB | 2024-Oct-08 03:30 |
| dublin-traceroute-contrib-0.4.2-r4.apk | 2.6 KiB | 2024-Oct-08 03:30 |
| dublin-traceroute-0.4.2-r4.apk | 45.8 KiB | 2024-Oct-08 03:30 |
| dstask-zsh-completion-0.27-r4.apk | 1.4 KiB | 2025-Dec-24 10:52 |
| dstask-import-0.27-r4.apk | 3.4 MiB | 2025-Dec-24 10:52 |
| dstask-fish-completion-0.27-r4.apk | 1.4 KiB | 2025-Dec-24 10:52 |
| dstask-bash-completion-0.27-r4.apk | 1.9 KiB | 2025-Dec-24 10:52 |
| dstask-0.27-r4.apk | 1.5 MiB | 2025-Dec-24 10:52 |
| dsp-doc-2.0-r2.apk | 9.9 KiB | 2025-Sep-28 04:37 |
| dsp-2.0-r2.apk | 159.4 KiB | 2025-Sep-28 04:37 |
| drupal7-doc-7.103-r0.apk | 57.2 KiB | 2024-Dec-04 17:28 |
| drupal7-7.103-r0.apk | 3.3 MiB | 2024-Dec-04 17:28 |
| drumgizmo-0.9.20-r1.apk | 403.8 KiB | 2023-Jun-22 07:05 |
| dropwatch-doc-1.5.5-r2.apk | 3.4 KiB | 2025-Dec-24 10:52 |
| dropwatch-1.5.5-r2.apk | 14.9 KiB | 2025-Dec-24 10:52 |
| drone-cli-1.8.0-r15.apk | 5.7 MiB | 2025-Dec-24 10:52 |
| droidcam-gui-2.1.3-r3.apk | 27.8 KiB | 2025-Sep-28 04:37 |
| droidcam-2.1.3-r3.apk | 18.6 KiB | 2025-Sep-28 04:37 |
| drogon-doc-1.9.4-r2.apk | 2.0 KiB | 2025-May-22 08:35 |
| drogon-dev-1.9.4-r2.apk | 121.1 KiB | 2025-May-22 08:35 |
| drogon-1.9.4-r2.apk | 1.4 MiB | 2025-May-22 08:35 |
| draw-0.1.1-r18.apk | 997.0 KiB | 2025-Dec-24 10:52 |
| draco-tools-1.5.7-r2.apk | 1.2 MiB | 2025-Feb-17 12:15 |
| draco-static-1.5.7-r2.apk | 2.9 MiB | 2025-Feb-17 12:15 |
| draco-dev-1.5.7-r2.apk | 204.5 KiB | 2025-Feb-17 12:15 |
| draco-1.5.7-r2.apk | 835.8 KiB | 2025-Feb-17 12:15 |
| downloader-cli-0.3.4-r2.apk | 1.7 KiB | 2025-May-15 20:19 |
| dooit-pyc-3.3.3-r0.apk | 102.9 KiB | 2025-Oct-27 08:46 |
| dooit-extras-pyc-0.2.0-r0.apk | 22.5 KiB | 2024-Dec-07 20:54 |
| dooit-extras-0.2.0-r0.apk | 12.5 KiB | 2024-Dec-07 20:54 |
| dooit-3.3.3-r0.apk | 45.6 KiB | 2025-Oct-27 08:46 |
| dolt-1.79.1-r0.apk | 39.6 MiB | 2025-Dec-24 10:52 |
| dockerize-0.9.6-r2.apk | 3.3 MiB | 2025-Dec-24 10:52 |
| docker-volume-local-persist-openrc-1.3.0-r38.apk | 1.5 KiB | 2025-Dec-24 10:52 |
| docker-volume-local-persist-1.3.0-r38.apk | 2.5 MiB | 2025-Dec-24 10:52 |
| doasedit-1.0.9-r0.apk | 3.2 KiB | 2025-Oct-31 13:44 |
| dnssec-tools-doc-2.2.3-r13.apk | 316.8 KiB | 2025-Jul-01 13:33 |
| dnssec-tools-dev-2.2.3-r13.apk | 319.5 KiB | 2025-Jul-01 13:33 |
| dnssec-tools-2.2.3-r13.apk | 747.9 KiB | 2025-Jul-01 13:33 |
| dnsperf-doc-2.14.0-r0.apk | 34.7 KiB | 2024-Jan-19 09:41 |
| dnsperf-2.14.0-r0.apk | 72.4 KiB | 2024-Jan-19 09:41 |
| dnsenum-doc-1.3.2-r0.apk | 5.0 KiB | 2024-Apr-30 01:05 |
| dnsenum-1.3.2-r0.apk | 21.0 KiB | 2024-Apr-30 01:05 |
| dnscrypt-wrapper-0.4.2-r3.apk | 30.6 KiB | 2023-Dec-19 21:12 |
| dnscontrol-doc-4.29.0-r0.apk | 2.0 KiB | 2025-Dec-24 10:52 |
| dnscontrol-4.29.0-r0.apk | 17.0 MiB | 2025-Dec-24 10:52 |
| dnote-zsh-completion-0.16.0-r0.apk | 1.8 KiB | 2025-Dec-24 10:52 |
| dnote-doc-0.16.0-r0.apk | 6.0 KiB | 2025-Dec-24 10:52 |
| dnote-bash-completion-0.16.0-r0.apk | 1.8 KiB | 2025-Dec-24 10:52 |
| dnote-0.16.0-r0.apk | 3.8 MiB | 2025-Dec-24 10:52 |
| dmenu-wl-doc-0.1-r0.apk | 3.8 KiB | 2025-Jul-02 17:21 |
| dmenu-wl-0.1-r0.apk | 17.3 KiB | 2025-Jul-02 17:21 |
| dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.2 KiB | 2024-Nov-29 22:38 |
| dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.6 KiB | 2024-Nov-29 22:38 |
| dmarc-metrics-exporter-1.2.0-r0.apk | 25.0 KiB | 2024-Nov-29 22:38 |
| dmarc-cat-0.15.0-r10.apk | 2.7 MiB | 2025-Dec-24 10:52 |
| dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-Apr-02 20:19 |
| dlib-19.24.4-r0.apk | 793.1 KiB | 2024-Apr-02 20:19 |
| dive-0.13.0-r7.apk | 3.8 MiB | 2025-Dec-24 10:52 |
| dislocker-libs-0.7.3-r6.apk | 45.9 KiB | 2025-Jul-20 01:38 |
| dislocker-doc-0.7.3-r6.apk | 5.8 KiB | 2025-Jul-20 01:38 |
| dislocker-0.7.3-r6.apk | 11.0 KiB | 2025-Jul-20 01:38 |
| disfetch-3.7-r0.apk | 8.0 KiB | 2023-Jun-08 22:40 |
| diceware-pyc-1.0.1-r0.apk | 17.8 KiB | 2025-Jan-13 22:52 |
| diceware-1.0.1-r0.apk | 333.8 KiB | 2025-Jan-13 22:52 |
| dfu-programmer-doc-1.1.0-r0.apk | 5.5 KiB | 2023-Jul-10 20:22 |
| dfu-programmer-bash-completion-1.1.0-r0.apk | 2.5 KiB | 2023-Jul-10 20:22 |
| dfu-programmer-1.1.0-r0.apk | 35.3 KiB | 2023-Jul-10 20:22 |
| dfl-sni-dev-0.3.0-r0.apk | 4.7 KiB | 2025-Aug-21 08:37 |
| dfl-sni-0.3.0-r0.apk | 60.0 KiB | 2025-Aug-21 08:37 |
| dfl-login1-dev-0.3.0-r0.apk | 3.4 KiB | 2025-Aug-21 08:37 |
| dfl-login1-0.3.0-r0.apk | 34.6 KiB | 2025-Aug-21 08:37 |
| dfl-ipc-dev-0.3.0-r0.apk | 4.5 KiB | 2025-Aug-21 08:37 |
| dfl-ipc-0.3.0-r0.apk | 47.2 KiB | 2025-Aug-21 08:37 |
| dfl-applications-dev-0.3.0-r0.apk | 3.7 KiB | 2025-Aug-21 08:37 |
| dfl-applications-0.3.0-r0.apk | 65.7 KiB | 2025-Aug-21 08:37 |
| dewduct-0.2.3-r0.apk | 1.1 MiB | 2024-Jun-14 20:19 |
| devil-dev-1.8.0-r0.apk | 12.6 KiB | 2023-Sep-12 10:25 |
| devil-1.8.0-r0.apk | 257.2 KiB | 2023-Sep-12 10:25 |
| deviced-openrc-0_git20250427-r0.apk | 1.4 KiB | 2025-Jul-05 23:30 |
| deviced-dev-0_git20250427-r0.apk | 25.8 KiB | 2025-Jul-05 23:30 |
| deviced-0_git20250427-r0.apk | 120.3 KiB | 2025-Jul-05 23:30 |
| detox-doc-2.0.0-r0.apk | 20.5 KiB | 2024-Apr-01 20:11 |
| detox-2.0.0-r0.apk | 112.8 KiB | 2024-Apr-01 20:11 |
| desync-0.9.6-r10.apk | 7.5 MiB | 2025-Dec-24 10:52 |
| desed-doc-1.2.1-r1.apk | 2.6 KiB | 2024-Jul-14 05:43 |
| desed-1.2.1-r1.apk | 396.8 KiB | 2024-Jul-14 05:43 |
| dehydrated-0.7.1-r0.apk | 26.2 KiB | 2023-Jan-20 22:16 |
| decoder-lang-0.7.0-r0.apk | 58.7 KiB | 2025-Apr-12 01:36 |
| decoder-0.7.0-r0.apk | 1.9 MiB | 2025-Apr-12 01:36 |
| deblob-doc-0.12-r0.apk | 3.5 KiB | 2025-Oct-15 22:29 |
| deblob-0.12-r0.apk | 129.8 KiB | 2025-Oct-15 22:29 |
| debconf-utils-1.5.82-r0.apk | 6.4 KiB | 2023-Mar-16 17:36 |
| debconf-lang-1.5.82-r0.apk | 132.2 KiB | 2023-Mar-16 17:36 |
| debconf-doc-1.5.82-r0.apk | 26.5 KiB | 2023-Mar-16 17:36 |
| debconf-bash-completion-1.5.82-r0.apk | 1.6 KiB | 2023-Mar-16 17:36 |
| debconf-1.5.82-r0.apk | 69.1 KiB | 2023-Mar-16 17:36 |
| deadbeef-soxr-20180801-r0.apk | 5.2 KiB | 2021-Jul-27 08:10 |
| ddserver-0_git20200930-r1.apk | 11.5 KiB | 2022-Oct-25 13:04 |
| ddgr-zsh-completion-2.2-r0.apk | 2.4 KiB | 2024-Jan-17 16:50 |
| ddgr-fish-completion-2.2-r0.apk | 2.0 KiB | 2024-Jan-17 16:50 |
| ddgr-doc-2.2-r0.apk | 11.3 KiB | 2024-Jan-17 16:50 |
| ddgr-bash-completion-2.2-r0.apk | 2.0 KiB | 2024-Jan-17 16:50 |
| ddgr-2.2-r0.apk | 19.8 KiB | 2024-Jan-17 16:50 |
| ddcci-driver-linux-src-0.4.5-r2.apk | 18.9 KiB | 2025-Mar-19 18:47 |
| dcnnt-pyc-0.10.0-r1.apk | 61.4 KiB | 2024-Apr-30 01:05 |
| dcnnt-doc-0.10.0-r1.apk | 6.3 KiB | 2024-Apr-30 01:05 |
| dcnnt-0.10.0-r1.apk | 27.5 KiB | 2024-Apr-30 01:05 |
| dcmtk-openrc-3.6.9-r0.apk | 1.4 KiB | 2025-Jan-20 05:38 |
| dcmtk-doc-3.6.9-r0.apk | 257.2 KiB | 2025-Jan-20 05:38 |
| dcmtk-dev-3.6.9-r0.apk | 1.6 MiB | 2025-Jan-20 05:38 |
| dcmtk-3.6.9-r0.apk | 1.2 MiB | 2025-Jan-20 05:38 |
| dbus-broker-doc-37-r0.apk | 5.6 KiB | 2025-Jun-17 15:57 |
| dbus-broker-37-r0.apk | 85.6 KiB | 2025-Jun-17 15:57 |
| dbmate-doc-2.28.0-r1.apk | 2.0 KiB | 2025-Dec-24 10:52 |
| dbmate-2.28.0-r1.apk | 10.7 MiB | 2025-Dec-24 10:52 |
| dasht-zsh-completion-2.4.0-r0.apk | 1.8 KiB | 2023-Dec-24 03:13 |
| dasht-doc-2.4.0-r0.apk | 11.1 KiB | 2023-Dec-24 03:13 |
| dasht-2.4.0-r0.apk | 14.0 KiB | 2023-Dec-24 03:13 |
| darts-clone-dev-0.32h-r0.apk | 12.9 KiB | 2025-Jul-06 07:11 |
| darts-clone-0.32h-r0.apk | 40.2 KiB | 2025-Jul-06 07:11 |
| dart-stage0-3.10.0_alpha244_p0-r0.apk | 135.7 MiB | 2025-Nov-17 05:03 |
| darkreader-4.9.110-r0.apk | 767.2 KiB | 2025-Aug-21 09:14 |
| darkradiant-lang-3.9.0-r0.apk | 37.0 KiB | 2025-Sep-28 13:52 |
| darkradiant-doc-3.9.0-r0.apk | 2.2 MiB | 2025-Sep-28 13:52 |
| darkradiant-3.9.0-r0.apk | 9.8 MiB | 2025-Sep-28 13:52 |
| dam-doc-0_git20250728-r0.apk | 2.0 KiB | 2025-Dec-24 10:52 |
| dam-0_git20250728-r0.apk | 13.2 KiB | 2025-Dec-24 10:52 |
| daktilo-zsh-completion-0.6.0-r0.apk | 2.0 KiB | 2024-Apr-30 01:05 |
| daktilo-fish-completion-0.6.0-r0.apk | 1.7 KiB | 2024-Apr-30 01:05 |
| daktilo-doc-0.6.0-r0.apk | 8.4 KiB | 2024-Apr-30 01:05 |
| daktilo-bash-completion-0.6.0-r0.apk | 1.9 KiB | 2024-Apr-30 01:05 |
| daktilo-0.6.0-r0.apk | 1.7 MiB | 2024-Apr-30 01:05 |
| daemontools-openrc-0.76-r3.apk | 1.7 KiB | 2024-Sep-26 11:04 |
| daemontools-0.76-r3.apk | 64.6 KiB | 2024-Sep-26 11:04 |
| cz-viator-hourglass-black-20210706-r0.apk | 219.0 KiB | 2022-Feb-07 12:49 |
| cyrus-sasl-xoauth2-static-0.2-r1.apk | 9.7 KiB | 2023-May-21 12:09 |
| cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.0 KiB | 2023-May-21 12:09 |
| cyrus-sasl-xoauth2-0.2-r1.apk | 6.3 KiB | 2023-May-21 12:09 |
| cvs-fast-export-tools-1.65-r0.apk | 8.4 KiB | 2024-Mar-03 07:17 |
| cvs-fast-export-doc-1.65-r0.apk | 17.2 KiB | 2024-Mar-03 07:17 |
| cvs-fast-export-1.65-r0.apk | 48.7 KiB | 2024-Mar-03 07:17 |
| cvise-pyc-2.11.0-r0.apk | 59.4 KiB | 2025-Mar-10 14:12 |
| cvise-2.11.0-r0.apk | 4.8 MiB | 2025-Mar-10 14:12 |
| cutechess-doc-1.3.1-r0.apk | 3.3 KiB | 2023-Sep-24 18:53 |
| cutechess-cli-doc-1.3.1-r0.apk | 6.3 KiB | 2023-Sep-24 18:53 |
| cutechess-cli-1.3.1-r0.apk | 343.4 KiB | 2023-Sep-24 18:53 |
| cutechess-1.3.1-r0.apk | 1.1 MiB | 2023-Sep-24 18:53 |
| curtail-lang-1.13.0-r0.apk | 77.3 KiB | 2025-Jul-05 23:30 |
| curtail-1.13.0-r0.apk | 30.1 KiB | 2025-Jul-05 23:30 |
| curlftpfs-doc-0.9.2-r3.apk | 5.9 KiB | 2022-Oct-25 13:04 |
| curlftpfs-0.9.2-r3.apk | 21.2 KiB | 2022-Oct-25 13:04 |
| cups-pdf-3.0.2-r0.apk | 20.8 KiB | 2025-Jul-04 21:12 |
| ctorrent-dnh-3.3.2-r2.apk | 90.7 KiB | 2022-Oct-25 13:04 |
| csol-doc-1.6.0-r0.apk | 3.6 KiB | 2023-Mar-10 21:03 |
| csol-1.6.0-r0.apk | 33.5 KiB | 2023-Mar-10 21:03 |
| csmith-doc-2.3.0-r2.apk | 2.8 KiB | 2024-Jul-01 14:13 |
| csmith-2.3.0-r2.apk | 311.6 KiB | 2024-Jul-01 14:13 |
| csfml-doc-2.5.2-r0.apk | 203.7 KiB | 2023-Jul-04 11:04 |
| csfml-dev-2.5.2-r0.apk | 76.9 KiB | 2023-Jul-04 11:04 |
| csfml-2.5.2-r0.apk | 97.2 KiB | 2023-Jul-04 11:04 |
| cscope-doc-15.9-r1.apk | 7.2 KiB | 2022-Oct-14 19:59 |
| cscope-15.9-r1.apk | 149.8 KiB | 2022-Oct-14 19:59 |
| crun-vm-doc-0.3.0-r0.apk | 12.6 KiB | 2024-Nov-12 16:00 |
| crun-vm-0.3.0-r0.apk | 1.0 MiB | 2024-Nov-12 16:00 |
| crowdsec-splunk-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-Dec-24 10:52 |
| crowdsec-slack-plugin-1.7.4-r0.apk | 6.1 MiB | 2025-Dec-24 10:52 |
| crowdsec-sentinel-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-Dec-24 10:52 |
| crowdsec-openrc-1.7.4-r0.apk | 1.5 KiB | 2025-Dec-24 10:52 |
| crowdsec-http-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-Dec-24 10:52 |
| crowdsec-file-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-Dec-24 10:52 |
| crowdsec-email-plugin-1.7.4-r0.apk | 6.1 MiB | 2025-Dec-24 10:52 |
| crowdsec-1.7.4-r0.apk | 39.5 MiB | 2025-Dec-24 10:52 |
| crow-translate-lang-4.0.2-r0.apk | 553.7 KiB | 2025-Oct-15 22:29 |
| crow-translate-4.0.2-r0.apk | 10.7 MiB | 2025-Oct-15 22:29 |
| crossplane-pyc-0.5.8-r3.apk | 39.0 KiB | 2024-Aug-31 15:07 |
| crossplane-0.5.8-r3.apk | 29.9 KiB | 2024-Aug-31 15:07 |
| crispy-doom-doc-7.1-r0.apk | 107.1 KiB | 2025-Sep-28 04:37 |
| crispy-doom-7.1-r0.apk | 1.9 MiB | 2025-Sep-28 04:37 |
| createrepo_c-libs-1.1.4-r0.apk | 87.6 KiB | 2024-Sep-27 02:02 |
| createrepo_c-doc-1.1.4-r0.apk | 8.4 KiB | 2024-Sep-27 02:02 |
| createrepo_c-dev-1.1.4-r0.apk | 31.2 KiB | 2024-Sep-27 02:02 |
| createrepo_c-bash-completion-1.1.4-r0.apk | 2.6 KiB | 2024-Sep-27 02:02 |
| createrepo_c-1.1.4-r0.apk | 45.0 KiB | 2024-Sep-27 02:02 |
| crazydiskinfo-1.1.0-r1.apk | 33.8 KiB | 2022-Oct-25 13:04 |
| cpufetch-doc-1.07-r0.apk | 2.9 KiB | 2025-Nov-01 10:15 |
| cpufetch-1.07-r0.apk | 32.6 KiB | 2025-Nov-01 10:15 |
| cproc-doc-0_git20240427-r1.apk | 2.6 KiB | 2024-Nov-03 22:23 |
| cproc-dbg-0_git20240427-r1.apk | 118.9 KiB | 2024-Nov-03 22:23 |
| cproc-0_git20240427-r1.apk | 53.5 KiB | 2024-Nov-03 22:23 |
| cpplint-pyc-2.0.2-r0.apk | 99.2 KiB | 2025-Apr-15 14:07 |
| cpplint-2.0.2-r0.apk | 80.0 KiB | 2025-Apr-15 14:07 |
| cpp-httplib-doc-0.28.0-r0.apk | 13.2 KiB | 2025-Nov-26 13:59 |
| cpp-httplib-0.28.0-r0.apk | 84.6 KiB | 2025-Nov-26 13:59 |
| cpiped-0.1.0-r0.apk | 6.3 KiB | 2021-Jul-27 08:10 |
| cowsay-doc-3.04-r2.apk | 3.7 KiB | 2022-Oct-25 13:04 |
| cowsay-3.04-r2.apk | 18.1 KiB | 2022-Oct-25 13:04 |
| cortex-tenant-openrc-1.15.7-r2.apk | 1.8 KiB | 2025-Dec-24 10:52 |
| cortex-tenant-1.15.7-r2.apk | 4.1 MiB | 2025-Dec-24 10:52 |
| corosync-openrc-3.1.9-r0.apk | 1.5 KiB | 2025-May-29 03:17 |
| corosync-doc-3.1.9-r0.apk | 190.0 KiB | 2025-May-29 03:17 |
| corosync-dev-3.1.9-r0.apk | 813.7 KiB | 2025-May-29 03:17 |
| corosync-3.1.9-r0.apk | 267.9 KiB | 2025-May-29 03:17 |
| copyq-doc-13.0.0-r1.apk | 3.2 KiB | 2025-Dec-24 10:52 |
| copyq-bash-completion-13.0.0-r1.apk | 2.0 KiB | 2025-Dec-24 10:52 |
| copyq-13.0.0-r1.apk | 2.6 MiB | 2025-Dec-24 10:52 |
| convert2json-yaml-json-2.4.1-r0.apk | 275.6 KiB | 2025-Dec-24 10:52 |
| convert2json-yaml-jaq-2.4.1-r0.apk | 285.3 KiB | 2025-Dec-24 10:52 |
| convert2json-yaml-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-xml-json-2.4.1-r0.apk | 207.6 KiB | 2025-Dec-24 10:52 |
| convert2json-xml-jaq-2.4.1-r0.apk | 217.9 KiB | 2025-Dec-24 10:52 |
| convert2json-xml-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-toml-json-2.4.1-r0.apk | 250.8 KiB | 2025-Dec-24 10:52 |
| convert2json-toml-jaq-2.4.1-r0.apk | 260.6 KiB | 2025-Dec-24 10:52 |
| convert2json-toml-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-rsv-json-2.4.1-r0.apk | 171.4 KiB | 2025-Dec-24 10:52 |
| convert2json-rsv-jaq-2.4.1-r0.apk | 183.3 KiB | 2025-Dec-24 10:52 |
| convert2json-rsv-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-plist-json-2.4.1-r0.apk | 250.9 KiB | 2025-Dec-24 10:52 |
| convert2json-plist-jaq-2.4.1-r0.apk | 261.1 KiB | 2025-Dec-24 10:52 |
| convert2json-plist-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-messagepack-json-2.4.1-r0.apk | 209.9 KiB | 2025-Dec-24 10:52 |
| convert2json-messagepack-jaq-2.4.1-r0.apk | 219.6 KiB | 2025-Dec-24 10:52 |
| convert2json-messagepack-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-json-2.4.1-r0.apk | 1.1 KiB | 2025-Dec-24 10:52 |
| convert2json-jaq-2.4.1-r0.apk | 1.1 KiB | 2025-Dec-24 10:52 |
| convert2json-ini-json-2.4.1-r0.apk | 195.6 KiB | 2025-Dec-24 10:52 |
| convert2json-ini-jaq-2.4.1-r0.apk | 206.1 KiB | 2025-Dec-24 10:52 |
| convert2json-ini-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-doc-2.4.1-r0.apk | 13.1 KiB | 2025-Dec-24 10:52 |
| convert2json-csv-json-2.4.1-r0.apk | 230.5 KiB | 2025-Dec-24 10:52 |
| convert2json-csv-jaq-2.4.1-r0.apk | 240.9 KiB | 2025-Dec-24 10:52 |
| convert2json-csv-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-cbor-json-2.4.1-r0.apk | 213.5 KiB | 2025-Dec-24 10:52 |
| convert2json-cbor-jaq-2.4.1-r0.apk | 223.5 KiB | 2025-Dec-24 10:52 |
| convert2json-cbor-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-bson-json-2.4.1-r0.apk | 251.3 KiB | 2025-Dec-24 10:52 |
| convert2json-bson-jaq-2.4.1-r0.apk | 261.2 KiB | 2025-Dec-24 10:52 |
| convert2json-bson-2.4.1-r0.apk | 1.0 KiB | 2025-Dec-24 10:52 |
| convert2json-2.4.1-r0.apk | 1.1 KiB | 2025-Dec-24 10:52 |
| contractor-0.3.5-r0.apk | 26.2 KiB | 2024-Nov-12 22:47 |
| consul-replicate-0.4.0-r36.apk | 2.8 MiB | 2025-Dec-24 10:52 |
| console_bridge-dev-1.0.2-r0.apk | 4.5 KiB | 2022-Nov-08 20:59 |
| console_bridge-1.0.2-r0.apk | 9.0 KiB | 2022-Nov-08 20:59 |
| conserver-openrc-8.2.7-r0.apk | 1.4 KiB | 2025-Dec-24 10:52 |
| conserver-doc-8.2.7-r0.apk | 27.4 KiB | 2025-Dec-24 10:52 |
| conserver-8.2.7-r0.apk | 132.0 KiB | 2025-Dec-24 10:52 |
| conntracct-openrc-0.2.7-r36.apk | 1.7 KiB | 2025-Dec-24 10:52 |
| conntracct-0.2.7-r36.apk | 4.9 MiB | 2025-Dec-24 10:52 |
| comrak-doc-0.49.0-r0.apk | 10.4 KiB | 2025-Dec-24 10:52 |
| comrak-0.49.0-r0.apk | 1.0 MiB | 2025-Dec-24 10:52 |
| compiz-utils-0.9.14.2-r13.apk | 3.1 KiB | 2025-Nov-29 12:12 |
| compiz-pyc-0.9.14.2-r13.apk | 111.2 KiB | 2025-Nov-29 12:12 |
| compiz-lang-0.9.14.2-r13.apk | 1.2 MiB | 2025-Nov-29 12:12 |
| compiz-dev-0.9.14.2-r13.apk | 117.0 KiB | 2025-Nov-29 12:12 |
| compiz-0.9.14.2-r13.apk | 5.9 MiB | 2025-Nov-29 12:12 |
| commoncpp-tools-7.0.1-r1.apk | 41.2 KiB | 2022-Oct-07 06:49 |
| commoncpp-doc-7.0.1-r1.apk | 14.6 KiB | 2022-Oct-07 06:49 |
| commoncpp-dev-7.0.1-r1.apk | 172.8 KiB | 2022-Oct-07 06:49 |
| commoncpp-7.0.1-r1.apk | 285.1 KiB | 2022-Oct-07 06:49 |
| commit-lsp-0.1.0-r0.apk | 2.1 MiB | 2025-May-10 01:44 |
| comics-downloader-gui-0.33.8-r15.apk | 5.5 MiB | 2025-Dec-24 10:52 |
| comics-downloader-0.33.8-r15.apk | 3.7 MiB | 2025-Dec-24 10:52 |
| comet-0.3.1-r0.apk | 2.8 MiB | 2025-Nov-29 20:03 |
| colorpicker-0_git20201128-r1.apk | 3.6 KiB | 2022-Oct-25 13:04 |
| colormake-doc-0.9.20170221-r0.apk | 2.7 KiB | 2021-Jul-27 08:10 |
| colormake-0.9.20170221-r0.apk | 4.0 KiB | 2021-Jul-27 08:10 |
| codec2-dev-1.2.0-r1.apk | 15.2 KiB | 2025-Nov-22 18:52 |
| codec2-1.2.0-r1.apk | 685.0 KiB | 2025-Nov-22 18:52 |
| code-minimap-doc-0.6.7-r0.apk | 7.7 KiB | 2024-Dec-12 21:37 |
| code-minimap-0.6.7-r0.apk | 346.1 KiB | 2024-Dec-12 21:37 |
| cocogitto-zsh-completion-6.5.0-r0.apk | 2.9 KiB | 2025-Nov-03 10:01 |
| cocogitto-fish-completion-6.5.0-r0.apk | 3.5 KiB | 2025-Nov-03 10:01 |
| cocogitto-doc-6.5.0-r0.apk | 39.2 KiB | 2025-Nov-03 10:01 |
| cocogitto-bash-completion-6.5.0-r0.apk | 2.9 KiB | 2025-Nov-03 10:01 |
| cocogitto-6.5.0-r0.apk | 1.9 MiB | 2025-Nov-03 10:01 |
| cobang-lang-1.8.1-r0.apk | 9.9 KiB | 2025-Oct-26 23:29 |
| cobang-1.8.1-r0.apk | 40.6 KiB | 2025-Oct-26 23:29 |
| cmusfm-0.5.0-r1.apk | 15.5 KiB | 2025-Aug-27 04:14 |
| cluster-glue-libs-1.0.12-r5.apk | 100.9 KiB | 2023-May-01 21:45 |
| cluster-glue-doc-1.0.12-r5.apk | 32.7 KiB | 2023-May-01 21:45 |
| cluster-glue-dev-1.0.12-r5.apk | 1.9 MiB | 2023-May-01 21:45 |
| cluster-glue-1.0.12-r5.apk | 239.8 KiB | 2023-May-01 21:45 |
| cloudfoundry-cli-8.7.9-r14.apk | 8.8 MiB | 2025-Dec-24 10:52 |
| cloud-hypervisor-doc-48.0-r0.apk | 67.9 KiB | 2025-Oct-28 07:49 |
| cloud-hypervisor-48.0-r0.apk | 2.8 MiB | 2025-Oct-28 07:49 |
| cln-doc-1.3.7-r1.apk | 77.1 KiB | 2025-May-25 08:06 |
| cln-dev-1.3.7-r1.apk | 2.3 MiB | 2025-May-25 08:06 |
| cln-1.3.7-r1.apk | 474.6 KiB | 2025-May-25 08:06 |
| cliquer-tests-1.23-r0.apk | 23.7 KiB | 2025-Aug-12 04:16 |
| cliquer-static-1.23-r0.apk | 49.9 KiB | 2025-Aug-12 04:16 |
| cliquer-libs-1.23-r0.apk | 23.0 KiB | 2025-Aug-12 04:16 |
| cliquer-dev-1.23-r0.apk | 7.2 KiB | 2025-Aug-12 04:16 |
| cliquer-1.23-r0.apk | 6.8 KiB | 2025-Aug-12 04:16 |
| clipit-doc-1.4.5-r3.apk | 2.1 KiB | 2024-Sep-30 14:20 |
| clipit-1.4.5-r3.apk | 65.1 KiB | 2024-Sep-30 14:20 |
| cliphist-fzf-0.7.0-r1.apk | 1.5 KiB | 2025-Dec-24 10:52 |
| cliphist-0.7.0-r1.apk | 983.9 KiB | 2025-Dec-24 10:52 |
| clinfo-doc-3.0.23.01.25-r0.apk | 6.2 KiB | 2023-Feb-10 12:02 |
| clinfo-3.0.23.01.25-r0.apk | 40.8 KiB | 2023-Feb-10 12:02 |
| click-pyc-0.5.2-r4.apk | 174.5 KiB | 2025-Feb-17 12:15 |
| click-doc-0.5.2-r4.apk | 3.0 KiB | 2025-Feb-17 12:15 |
| click-dev-0.5.2-r4.apk | 8.9 KiB | 2025-Feb-17 12:15 |
| click-0.5.2-r4.apk | 155.4 KiB | 2025-Feb-17 12:15 |
| clevis-extra-pins-0_git20230629-r0.apk | 4.4 KiB | 2024-Mar-03 07:17 |
| clevis-doc-21-r0.apk | 22.9 KiB | 2025-Jan-20 05:38 |
| clevis-dbg-21-r0.apk | 60.0 KiB | 2025-Jan-20 05:38 |
| clevis-bash-completion-21-r0.apk | 1.8 KiB | 2025-Jan-20 05:38 |
| clevis-21-r0.apk | 51.2 KiB | 2025-Jan-20 05:38 |
| clementine-1.4.1_git20250503-r0.apk | 6.3 MiB | 2025-Jun-13 01:58 |
| clatd-1.6-r0.apk | 12.4 KiB | 2023-Apr-19 22:32 |
| clang-next-static-22.0.0_pre20251108-r0.apk | 46.7 MiB | 2025-Nov-09 12:13 |
| clang-next-rtlib-scudo-22.0.0_pre20251108-r0.apk | 36.9 KiB | 2025-Nov-09 12:13 |
| clang-next-rtlib-atomic-22.0.0_pre20251108-r0.apk | 9.5 KiB | 2025-Nov-09 12:13 |
| clang-next-rtlib-22.0.0_pre20251108-r0.apk | 12.9 MiB | 2025-Nov-09 12:13 |
| clang-next-libs-22.0.0_pre20251108-r0.apk | 15.9 MiB | 2025-Nov-09 12:13 |
| clang-next-libclang-22.0.0_pre20251108-r0.apk | 9.3 MiB | 2025-Nov-09 12:13 |
| clang-next-headers-22.0.0_pre20251108-r0.apk | 1013.3 KiB | 2025-Nov-09 12:12 |
| clang-next-dev-22.0.0_pre20251108-r0.apk | 3.9 MiB | 2025-Nov-09 12:12 |
| clang-next-ccache-22.0.0_pre20251108-r0.apk | 1.4 KiB | 2025-Nov-09 12:12 |
| clang-next-22.0.0_pre20251108-r0.apk | 9.4 MiB | 2025-Nov-09 12:12 |
| ckb-next-dev-0.6.2-r1.apk | 4.7 KiB | 2025-Sep-26 04:01 |
| ckb-next-daemon-openrc-0.6.2-r1.apk | 1.6 KiB | 2025-Sep-26 04:01 |
| ckb-next-daemon-0.6.2-r1.apk | 77.5 KiB | 2025-Sep-26 04:01 |
| ckb-next-0.6.2-r1.apk | 1.3 MiB | 2025-Sep-26 04:01 |
| circuslinux-doc-1.0.3-r1.apk | 18.0 KiB | 2021-Dec-05 08:37 |
| circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2021-Dec-05 08:37 |
| circuslinux-1.0.3-r1.apk | 18.2 KiB | 2021-Dec-05 08:37 |
| cimg-3.4.1-r0.apk | 825.7 KiB | 2024-Aug-29 10:07 |
| cilium-cli-zsh-completion-0.16.13-r10.apk | 3.8 KiB | 2025-Dec-24 10:52 |
| cilium-cli-fish-completion-0.16.13-r10.apk | 4.1 KiB | 2025-Dec-24 10:52 |
| cilium-cli-bash-completion-0.16.13-r10.apk | 4.8 KiB | 2025-Dec-24 10:52 |
| cilium-cli-0.16.13-r10.apk | 53.7 MiB | 2025-Dec-24 10:52 |
| chocolate-doom-doc-3.1.1-r0.apk | 232.3 KiB | 2025-Aug-19 01:33 |
| chocolate-doom-3.1.1-r0.apk | 1.7 MiB | 2025-Aug-19 01:33 |
| chimerautils-dbg-15.0.2-r0.apk | 3.3 MiB | 2025-Dec-24 10:52 |
| chimerautils-15.0.2-r0.apk | 1.2 MiB | 2025-Dec-24 10:52 |
| chess-tui-doc-2.0.0-r0.apk | 2.0 KiB | 2025-Dec-24 10:52 |
| chess-tui-2.0.0-r0.apk | 1.4 MiB | 2025-Dec-24 10:52 |
| cherrytree-lang-1.4.0-r0.apk | 858.3 KiB | 2025-Mar-26 17:45 |
| cherrytree-doc-1.4.0-r0.apk | 1.8 KiB | 2025-Mar-26 17:45 |
| cherrytree-1.4.0-r0.apk | 2.8 MiB | 2025-Mar-26 17:45 |
| checkpolicy-doc-3.6-r0.apk | 3.9 KiB | 2024-Jan-07 22:46 |
| checkpolicy-3.6-r0.apk | 369.6 KiB | 2024-Jan-07 22:46 |
| chasquid-openrc-1.17.0-r0.apk | 1.7 KiB | 2025-Dec-24 10:52 |
| chasquid-doc-1.17.0-r0.apk | 10.6 KiB | 2025-Dec-24 10:52 |
| chasquid-1.17.0-r0.apk | 11.0 MiB | 2025-Dec-24 10:52 |
| charta-0.8.2-r1.apk | 2.0 MiB | 2025-Dec-24 10:52 |
| charls-dev-2.4.2-r0.apk | 26.4 KiB | 2023-Dec-14 16:54 |
| charls-2.4.2-r0.apk | 67.5 KiB | 2023-Dec-14 16:54 |
| cgo-doc-0.6.1-r1.apk | 3.9 KiB | 2022-Oct-25 13:04 |
| cgo-0.6.1-r1.apk | 8.9 KiB | 2022-Oct-25 13:04 |
| cgiirc-0.5.12-r1.apk | 132.3 KiB | 2023-Dec-25 16:27 |
| certstrap-1.3.0-r29.apk | 2.2 MiB | 2025-Dec-24 10:52 |
| certigo-1.16.0-r28.apk | 3.7 MiB | 2025-Dec-24 10:52 |
| certbot-dns-pdns-pyc-0.1.1-r1.apk | 3.6 KiB | 2025-Aug-28 05:15 |
| certbot-dns-pdns-0.1.1-r1.apk | 8.4 KiB | 2025-Aug-28 05:15 |
| certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.0 KiB | 2024-Nov-27 23:08 |
| certbot-dns-njalla-2.0.0-r0.apk | 9.0 KiB | 2024-Nov-27 23:08 |
| certbot-dns-hetzner-pyc-2.0.1-r1.apk | 6.2 KiB | 2025-Oct-06 14:28 |
| certbot-dns-hetzner-2.0.1-r1.apk | 9.9 KiB | 2025-Oct-06 14:28 |
| cdogs-sdl-2.3.2-r0.apk | 28.0 MiB | 2025-Oct-15 12:15 |
| cdist-pyc-7.0.0-r6.apk | 127.3 KiB | 2024-Aug-07 01:50 |
| cdist-7.0.0-r6.apk | 510.7 KiB | 2024-Aug-07 01:50 |
| cddlib-tools-0.94m-r2.apk | 36.4 KiB | 2023-Aug-19 19:23 |
| cddlib-static-0.94m-r2.apk | 447.4 KiB | 2023-Aug-19 19:23 |
| cddlib-doc-0.94m-r2.apk | 863.5 KiB | 2023-Aug-19 19:23 |
| cddlib-dev-0.94m-r2.apk | 13.8 KiB | 2023-Aug-19 19:23 |
| cddlib-0.94m-r2.apk | 181.7 KiB | 2023-Aug-19 19:23 |
| cdba-server-1.0-r2.apk | 21.7 KiB | 2024-Oct-23 21:15 |
| cdba-1.0-r2.apk | 7.5 KiB | 2024-Oct-23 21:15 |
| ccze-doc-0.2.1-r1.apk | 8.6 KiB | 2022-Oct-07 06:49 |
| ccze-dev-0.2.1-r1.apk | 3.1 KiB | 2022-Oct-07 06:49 |
| ccze-0.2.1-r1.apk | 38.1 KiB | 2022-Oct-07 06:49 |
| ccrtp-doc-2.1.2-r0.apk | 31.3 KiB | 2022-Jun-04 21:06 |
| ccrtp-dev-2.1.2-r0.apk | 52.7 KiB | 2022-Jun-04 21:06 |
| ccrtp-2.1.2-r0.apk | 90.3 KiB | 2022-Jun-04 21:06 |
| cc65-2.19-r0.apk | 8.9 MiB | 2024-Aug-16 01:55 |
| cbqn-0.10.0-r0.apk | 644.8 KiB | 2025-Nov-30 12:23 |
| cava-0.10.6-r0.apk | 47.3 KiB | 2025-Sep-26 04:01 |
| catfish-pyc-4.20.1-r0.apk | 103.8 KiB | 2025-Jul-07 20:40 |
| catfish-lang-4.20.1-r0.apk | 166.4 KiB | 2025-Jul-07 20:40 |
| catfish-doc-4.20.1-r0.apk | 13.0 KiB | 2025-Jul-07 20:40 |
| catfish-4.20.1-r0.apk | 127.5 KiB | 2025-Jul-07 20:40 |
| catdoc-doc-0.95-r1.apk | 9.0 KiB | 2022-Oct-25 13:04 |
| catdoc-0.95-r1.apk | 100.9 KiB | 2022-Oct-25 13:04 |
| castor-0.9.0-r2.apk | 766.7 KiB | 2025-Aug-05 17:12 |
| castero-pyc-0.9.5-r4.apk | 93.7 KiB | 2025-May-15 20:19 |
| castero-0.9.5-r4.apk | 50.2 KiB | 2025-May-15 20:19 |
| cartero-lang-0.2.2-r0.apk | 44.5 KiB | 2025-Oct-15 12:15 |
| cartero-0.2.2-r0.apk | 1.2 MiB | 2025-Oct-15 12:15 |
| cargo-vendor-filterer-0.5.18-r0.apk | 641.0 KiB | 2025-Jul-24 18:04 |
| cargo-update-doc-18.0.0-r0.apk | 8.2 KiB | 2025-Oct-28 08:17 |
| cargo-update-18.0.0-r0.apk | 1.1 MiB | 2025-Oct-28 08:17 |
| cargo-udeps-doc-0.1.59-r0.apk | 7.2 KiB | 2025-Oct-04 02:34 |
| cargo-udeps-0.1.59-r0.apk | 4.8 MiB | 2025-Oct-04 02:34 |
| cargo-shuttle-zsh-completion-0.56.6-r0.apk | 7.7 KiB | 2025-Jul-29 08:17 |
| cargo-shuttle-fish-completion-0.56.6-r0.apk | 8.8 KiB | 2025-Jul-29 08:17 |
| cargo-shuttle-doc-0.56.6-r0.apk | 8.7 KiB | 2025-Jul-29 08:17 |
| cargo-shuttle-bash-completion-0.56.6-r0.apk | 4.9 KiB | 2025-Jul-29 08:17 |
| cargo-shuttle-0.56.6-r0.apk | 5.0 MiB | 2025-Jul-29 08:17 |
| cargo-show-asm-doc-0.2.53-r0.apk | 9.7 KiB | 2025-Oct-30 13:42 |
| cargo-show-asm-0.2.53-r0.apk | 882.6 KiB | 2025-Oct-30 13:42 |
| cargo-run-bin-doc-1.7.2-r0.apk | 4.8 KiB | 2024-Jan-19 02:19 |
| cargo-run-bin-1.7.2-r0.apk | 425.8 KiB | 2024-Jan-19 02:19 |
| cargo-machete-doc-0.9.1-r0.apk | 4.1 KiB | 2025-Aug-17 18:57 |
| cargo-machete-0.9.1-r0.apk | 1.3 MiB | 2025-Aug-17 18:57 |
| cargo-generate-0.23.5-r0.apk | 2.4 MiB | 2025-Oct-04 15:42 |
| cargo-geiger-doc-0.13.0-r0.apk | 7.4 KiB | 2025-Oct-15 12:15 |
| cargo-geiger-0.13.0-r0.apk | 5.3 MiB | 2025-Oct-15 12:15 |
| cargo-crev-0.26.3-r0.apk | 6.0 MiB | 2025-Mar-03 00:48 |
| captive-browser-doc-0_git20210801-r2.apk | 3.4 KiB | 2025-Dec-24 10:52 |
| captive-browser-0_git20210801-r2.apk | 1.2 MiB | 2025-Dec-24 10:52 |
| caps2esc-0.3.2-r0.apk | 4.3 KiB | 2023-Jun-29 13:31 |
| capnet-assist-lang-8.0.0-r0.apk | 37.0 KiB | 2025-Apr-15 14:07 |
| capnet-assist-8.0.0-r0.apk | 43.4 KiB | 2025-Apr-15 14:07 |
| caja-gtkhash-plugin-1.5-r0.apk | 24.1 KiB | 2025-Mar-10 19:00 |
| caffeine-ng-lang-4.2.0-r1.apk | 34.1 KiB | 2024-Apr-30 01:05 |
| caffeine-ng-doc-4.2.0-r1.apk | 2.9 KiB | 2024-Apr-30 01:05 |
| caffeine-ng-4.2.0-r1.apk | 100.1 KiB | 2024-Apr-30 01:05 |
| cadence-0.9.2-r1.apk | 1.5 MiB | 2025-Oct-15 12:15 |
| bzmenu-0.2.1-r3.apk | 1.1 MiB | 2025-Aug-10 16:55 |
| bwrap-oci-doc-0.2-r1.apk | 2.2 KiB | 2022-Oct-25 13:04 |
| bwrap-oci-0.2-r1.apk | 13.4 KiB | 2022-Oct-25 13:04 |
| butane-0.25.1-r2.apk | 3.1 MiB | 2025-Dec-24 10:52 |
| burp-server-3.1.4-r0.apk | 35.6 KiB | 2023-Mar-17 19:11 |
| burp-doc-3.1.4-r0.apk | 99.2 KiB | 2023-Mar-17 19:11 |
| burp-3.1.4-r0.apk | 151.4 KiB | 2023-Mar-17 19:11 |
| bump2version-pyc-1.0.1-r6.apk | 28.8 KiB | 2024-Apr-30 01:05 |
| bump2version-1.0.1-r6.apk | 20.6 KiB | 2024-Apr-30 01:05 |
| buildcache-0.28.9-r0.apk | 818.3 KiB | 2024-Jan-30 15:20 |
| build-next-overlay-22.0.0_pre20251108-r0.apk | 2.7 KiB | 2025-Nov-09 12:12 |
| build-next-gas-22.0.0_pre20251108-r0.apk | 658.8 KiB | 2025-Nov-09 12:12 |
| build-next-bfd-22.0.0_pre20251108-r0.apk | 770.5 KiB | 2025-Nov-09 12:12 |
| build-next-22.0.0_pre20251108-r0.apk | 1.3 KiB | 2025-Nov-09 12:12 |
| buf-zsh-completion-1.59.0-r1.apk | 3.8 KiB | 2025-Dec-24 10:52 |
| buf-protoc-plugins-1.59.0-r1.apk | 13.6 MiB | 2025-Dec-24 10:52 |
| buf-fish-completion-1.59.0-r1.apk | 4.0 KiB | 2025-Dec-24 10:52 |
| buf-bash-completion-1.59.0-r1.apk | 8.4 KiB | 2025-Dec-24 10:52 |
| buf-1.59.0-r1.apk | 14.4 MiB | 2025-Dec-24 10:52 |
| budgie-session-lang-0.9.1-r0.apk | 306.4 KiB | 2025-Oct-16 18:43 |
| budgie-session-doc-0.9.1-r0.apk | 5.0 KiB | 2025-Oct-16 18:43 |
| budgie-session-0.9.1-r0.apk | 108.9 KiB | 2025-Oct-16 18:43 |
| budgie-screensaver-lang-5.1.0-r0.apk | 239.9 KiB | 2025-Oct-16 18:43 |
| budgie-screensaver-doc-5.1.0-r0.apk | 3.1 KiB | 2025-Oct-16 18:43 |
| budgie-screensaver-5.1.0-r0.apk | 73.3 KiB | 2025-Oct-16 18:43 |
| budgie-desktop-lang-10.9.2-r0.apk | 585.6 KiB | 2025-Oct-16 18:43 |
| budgie-desktop-doc-10.9.2-r0.apk | 5.4 KiB | 2025-Oct-16 18:43 |
| budgie-desktop-dev-10.9.2-r0.apk | 18.0 KiB | 2025-Oct-16 18:43 |
| budgie-desktop-10.9.2-r0.apk | 1.3 MiB | 2025-Oct-16 18:43 |
| budgie-control-center-lang-1.4.0-r0.apk | 3.9 MiB | 2025-Oct-16 18:43 |
| budgie-control-center-bash-completion-1.4.0-r0.apk | 2.0 KiB | 2025-Oct-16 18:43 |
| budgie-control-center-1.4.0-r0.apk | 3.0 MiB | 2025-Oct-16 18:43 |
| btpd-doc-0.16-r2.apk | 8.1 KiB | 2022-Oct-07 06:49 |
| btpd-0.16-r2.apk | 64.2 KiB | 2022-Oct-07 06:49 |
| btfs-doc-2.24-r12.apk | 2.1 KiB | 2024-Apr-30 01:05 |
| btfs-2.24-r12.apk | 30.2 KiB | 2024-Apr-30 01:05 |
| btcd-0.24.0-r13.apk | 15.1 MiB | 2025-Dec-24 10:52 |
| brltty-static-6.7-r1.apk | 40.6 KiB | 2025-Apr-07 08:32 |
| brltty-lang-6.7-r1.apk | 148.4 KiB | 2025-Apr-07 08:32 |
| brltty-doc-6.7-r1.apk | 9.1 KiB | 2025-Apr-07 08:32 |
| brltty-dev-6.7-r1.apk | 139.8 KiB | 2025-Apr-07 08:32 |
| brltty-6.7-r1.apk | 2.2 MiB | 2025-Apr-07 08:32 |
| brial-dev-1.2.11-r4.apk | 2.3 MiB | 2024-Oct-13 21:46 |
| brial-1.2.11-r4.apk | 1.0 MiB | 2024-Oct-13 21:46 |
| boxes-doc-2.3.1-r0.apk | 6.9 KiB | 2024-Oct-05 22:45 |
| boxes-2.3.1-r0.apk | 78.0 KiB | 2024-Oct-05 22:45 |
| botan2-libs-2.19.5-r0.apk | 2.6 MiB | 2025-May-28 22:57 |
| botan2-doc-2.19.5-r0.apk | 306.0 KiB | 2025-May-28 22:57 |
| botan2-dev-2.19.5-r0.apk | 310.5 KiB | 2025-May-28 22:57 |
| botan2-2.19.5-r0.apk | 390.0 KiB | 2025-May-28 22:57 |
| boson-0_git20211219-r0.apk | 16.0 KiB | 2022-Jul-10 18:36 |
| bootterm-dbg-0.5-r0.apk | 2.1 KiB | 2024-May-09 18:31 |
| bootterm-0.5-r0.apk | 18.7 KiB | 2024-May-09 18:31 |
| bootloose-0.7.1-r16.apk | 2.2 MiB | 2025-Dec-24 10:52 |
| bootinfo-pyc-0.1.0-r4.apk | 8.0 KiB | 2024-Aug-07 01:50 |
| bootinfo-0.1.0-r4.apk | 19.2 KiB | 2024-Aug-07 01:50 |
| bootchart2-systemd-0.14.9-r1.apk | 2.0 KiB | 2025-Nov-17 14:57 |
| bootchart2-0.14.9-r1.apk | 135.7 KiB | 2025-Nov-17 14:57 |
| bonzomatic-20230615-r0.apk | 649.8 KiB | 2023-Sep-28 05:17 |
| bomctl-zsh-completion-0.1.9-r11.apk | 3.8 KiB | 2025-Dec-24 10:52 |
| bomctl-fish-completion-0.1.9-r11.apk | 4.1 KiB | 2025-Dec-24 10:52 |
| bomctl-bash-completion-0.1.9-r11.apk | 4.9 KiB | 2025-Dec-24 10:52 |
| bomctl-0.1.9-r11.apk | 9.0 MiB | 2025-Dec-24 10:52 |
| bochs-doc-2.8-r1.apk | 138.7 KiB | 2025-Feb-20 18:45 |
| bochs-2.8-r1.apk | 944.4 KiB | 2025-Feb-20 18:45 |
| boa-cli-0.21-r0.apk | 7.7 MiB | 2025-Oct-26 23:29 |
| blip-doc-0.10-r0.apk | 29.6 KiB | 2021-Jul-27 08:10 |
| blip-0.10-r0.apk | 15.3 KiB | 2021-Jul-27 08:10 |
| blackbox-1.20220610-r1.apk | 15.4 KiB | 2024-Sep-29 15:31 |
| bkt-doc-0.8.0-r0.apk | 7.0 KiB | 2024-Jul-10 03:12 |
| bkt-0.8.0-r0.apk | 347.9 KiB | 2024-Jul-10 03:12 |
| bitritter-0.1.1-r0.apk | 2.1 MiB | 2024-May-30 05:03 |
| bitlbee-mastodon-1.4.5-r0.apk | 40.7 KiB | 2022-Jul-05 16:03 |
| bitlbee-facebook-1.2.2-r0.apk | 48.9 KiB | 2022-Oct-07 06:49 |
| birdtray-1.11.4-r0.apk | 444.4 KiB | 2025-Dec-24 10:52 |
| biometryd-dev-0.3.3-r0.apk | 12.7 KiB | 2025-Dec-24 10:52 |
| biometryd-0.3.3-r0.apk | 313.9 KiB | 2025-Dec-24 10:52 |
| biome-2.3.10-r0.apk | 8.0 MiB | 2025-Dec-24 10:52 |
| binwalk-3.1.0-r0.apk | 973.6 KiB | 2025-Feb-07 17:17 |
| bindfs-doc-1.17.7-r1.apk | 8.8 KiB | 2025-Jun-19 14:40 |
| bindfs-1.17.7-r1.apk | 21.3 KiB | 2025-Jun-19 14:40 |
| biboumi-openrc-9.0-r9.apk | 1.6 KiB | 2025-Oct-06 14:28 |
| biboumi-doc-9.0-r9.apk | 1.2 KiB | 2025-Oct-06 14:28 |
| biboumi-9.0-r9.apk | 270.2 KiB | 2025-Oct-06 14:28 |
| bgs-doc-0.8-r1.apk | 2.0 KiB | 2022-Oct-25 13:04 |
| bgs-0.8-r1.apk | 4.7 KiB | 2022-Oct-25 13:04 |
| bgpq4-doc-1.15-r0.apk | 6.1 KiB | 2024-Oct-13 11:50 |
| bgpq4-1.15-r0.apk | 32.7 KiB | 2024-Oct-13 11:50 |
| bettercap-doc-2.41.5-r0.apk | 13.3 KiB | 2025-Dec-24 10:52 |
| bettercap-2.41.5-r0.apk | 19.1 MiB | 2025-Dec-24 10:52 |
| bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2022-Feb-13 14:17 |
| bestline-dev-0.0_git20211108-r0.apk | 1.7 KiB | 2022-Feb-13 14:17 |
| bestline-0.0_git20211108-r0.apk | 19.4 KiB | 2022-Feb-13 14:17 |
| berry-lang-1.1.0-r0.apk | 93.1 KiB | 2022-Oct-07 06:49 |
| belr-dev-5.3.105-r0.apk | 14.3 KiB | 2025-Feb-25 13:02 |
| belr-5.3.105-r0.apk | 111.6 KiB | 2025-Feb-25 13:02 |
| belle-sip-dev-5.3.105-r0.apk | 53.7 KiB | 2025-May-15 20:18 |
| belle-sip-5.3.105-r0.apk | 666.1 KiB | 2025-May-15 20:18 |
| belcard-libs-5.3.105-r0.apk | 213.9 KiB | 2025-Feb-25 13:02 |
| belcard-dev-5.3.105-r0.apk | 11.2 KiB | 2025-Feb-25 13:02 |
| belcard-5.3.105-r0.apk | 10.6 KiB | 2025-Feb-25 13:02 |
| bees-openrc-0.10-r2.apk | 1.7 KiB | 2024-Sep-29 15:31 |
| bees-0.10-r2.apk | 294.4 KiB | 2024-Sep-29 15:31 |
| beard-doc-0.4-r0.apk | 2.2 KiB | 2022-Oct-07 06:49 |
| beard-0.4-r0.apk | 2.9 KiB | 2022-Oct-07 06:49 |
| beancount-language-server-1.4.1-r0.apk | 1.4 MiB | 2025-Aug-03 11:22 |
| bdfr-2.6.2-r1.apk | 130.7 KiB | 2024-Apr-30 01:05 |
| bchunk-doc-1.2.2-r3.apk | 2.7 KiB | 2024-Oct-13 04:40 |
| bchunk-1.2.2-r3.apk | 6.8 KiB | 2024-Oct-13 04:40 |
| bcg729-dev-1.1.1-r1.apk | 3.4 KiB | 2025-Oct-15 22:29 |
| bcg729-1.1.1-r1.apk | 35.4 KiB | 2025-Oct-15 22:29 |
| bazaar-systemd-0.5.8-r0.apk | 1.5 KiB | 2025-Nov-02 22:22 |
| bazaar-lang-0.5.8-r0.apk | 108.8 KiB | 2025-Nov-02 22:22 |
| bazaar-0.5.8-r0.apk | 359.2 KiB | 2025-Nov-02 22:22 |
| battery-limit-openrc-1-r0.apk | 1.6 KiB | 2025-Jun-17 15:57 |
| batmon-0.0.1-r0.apk | 424.5 KiB | 2024-Jun-17 22:01 |
| bat-extras-prettybat-2024.08.24-r0.apk | 5.3 KiB | 2025-Oct-15 12:15 |
| bat-extras-doc-2024.08.24-r0.apk | 15.3 KiB | 2025-Oct-15 12:15 |
| bat-extras-batwatch-2024.08.24-r0.apk | 5.6 KiB | 2025-Oct-15 12:15 |
| bat-extras-batpipe-2024.08.24-r0.apk | 6.8 KiB | 2025-Oct-15 12:15 |
| bat-extras-batman-2024.08.24-r0.apk | 4.5 KiB | 2025-Oct-15 12:15 |
| bat-extras-batgrep-2024.08.24-r0.apk | 7.1 KiB | 2025-Oct-15 12:15 |
| bat-extras-batdiff-2024.08.24-r0.apk | 5.1 KiB | 2025-Oct-15 12:15 |
| bat-extras-2024.08.24-r0.apk | 5.1 KiB | 2025-Oct-15 12:15 |
| bash-pinyin-completion-rs-doc-1.0.3-r0.apk | 13.3 KiB | 2025-Dec-24 10:52 |
| bash-pinyin-completion-rs-1.0.3-r0.apk | 309.1 KiB | 2025-Dec-24 10:52 |
| base64c-dev-0.2.1-r0.apk | 5.9 KiB | 2023-Dec-14 16:54 |
| base64c-0.2.1-r0.apk | 4.0 KiB | 2023-Dec-14 16:54 |
| bartib-1.0.1-r1.apk | 379.8 KiB | 2025-Jul-16 12:25 |
| barrier-doc-2.4.0-r2.apk | 12.7 KiB | 2025-Feb-17 12:15 |
| barrier-2.4.0-r2.apk | 1014.4 KiB | 2025-Feb-17 12:15 |
| barnyard2-openrc-2.1.14_git20160413-r1.apk | 2.5 KiB | 2022-Oct-25 13:04 |
| barnyard2-2.1.14_git20160413-r1.apk | 115.9 KiB | 2022-Oct-25 13:04 |
| barman-pyc-3.16.2-r0.apk | 594.4 KiB | 2025-Nov-20 10:18 |
| barman-doc-3.16.2-r0.apk | 88.6 KiB | 2025-Nov-20 10:18 |
| barman-bash-completion-3.16.2-r0.apk | 1.4 KiB | 2025-Nov-20 10:18 |
| barman-3.16.2-r0.apk | 377.9 KiB | 2025-Nov-20 10:18 |
| baresip-dev-4.1.0-r0.apk | 15.7 KiB | 2025-Oct-04 05:28 |
| baresip-4.1.0-r0.apk | 1.0 MiB | 2025-Oct-04 05:28 |
| baikal-sqlite-0.10.1-r1.apk | 1.2 KiB | 2025-May-27 21:44 |
| baikal-pgsql-0.10.1-r1.apk | 1.0 KiB | 2025-May-27 21:44 |
| baikal-mysql-0.10.1-r1.apk | 1.0 KiB | 2025-May-27 21:44 |
| baikal-0.10.1-r1.apk | 1.3 MiB | 2025-May-27 21:44 |
| bacon-3.18.0-r0.apk | 1.8 MiB | 2025-Sep-30 17:59 |
| backup-manager-0.7.15-r1.apk | 55.1 KiB | 2022-Oct-25 13:04 |
| b2-tools-pyc-4.3.2-r0.apk | 135.6 KiB | 2025-May-03 09:22 |
| b2-tools-4.3.2-r0.apk | 72.2 KiB | 2025-May-03 09:22 |
| azure-iot-sdk-c-static-1.11.0-r0.apk | 1.2 MiB | 2023-Dec-14 16:54 |
| azpainter-doc-3.0.11-r0.apk | 41.6 KiB | 2025-Feb-22 11:10 |
| azpainter-3.0.11-r0.apk | 802.5 KiB | 2025-Feb-22 11:10 |
| azote-pyc-1.14.0-r0.apk | 97.7 KiB | 2024-Dec-15 01:12 |
| azote-1.14.0-r0.apk | 7.6 MiB | 2024-Dec-15 01:12 |
| aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk | 2.1 KiB | 2025-Dec-24 10:52 |
| aws-ecr-get-login-password-1.0.0_rc2-r1.apk | 3.1 MiB | 2025-Dec-24 10:52 |
| avra-dev-1.4.2-r0.apk | 254.5 KiB | 2023-Aug-21 05:36 |
| avra-1.4.2-r0.apk | 40.2 KiB | 2023-Aug-21 05:36 |
| avarice-doc-2.14-r4.apk | 9.2 KiB | 2024-Sep-22 19:58 |
| avarice-2.14-r4.apk | 97.9 KiB | 2024-Sep-22 19:58 |
| avara-0.7.1-r1.apk | 21.5 MiB | 2024-Nov-04 08:53 |
| avahi2dns-openrc-0.1.0-r7.apk | 1.5 KiB | 2025-Dec-24 17:06 |
| avahi2dns-0.1.0-r7.apk | 2.4 MiB | 2025-Dec-24 17:06 |
| autotrash-pyc-0.4.7-r0.apk | 13.8 KiB | 2024-Oct-15 21:24 |
| autotrash-0.4.7-r0.apk | 22.6 KiB | 2024-Oct-15 21:24 |
| autorestic-1.8.3-r10.apk | 3.7 MiB | 2025-Dec-24 10:52 |
| autoremove-torrents-pyc-1.5.5-r0.apk | 53.5 KiB | 2024-Sep-22 23:16 |
| autoremove-torrents-doc-1.5.5-r0.apk | 11.3 KiB | 2024-Sep-22 23:16 |
| autoremove-torrents-1.5.5-r0.apk | 35.2 KiB | 2024-Sep-22 23:16 |
| autoconf-policy-0.1-r0.apk | 5.5 KiB | 2021-Jul-27 08:10 |
| authenticator-rs-lang-0.8.6-r0.apk | 3.8 KiB | 2025-Sep-01 18:59 |
| authenticator-rs-0.8.6-r0.apk | 2.2 MiB | 2025-Sep-01 18:59 |
| aufs-util-doc-20161219-r3.apk | 33.6 KiB | 2024-Oct-15 13:05 |
| aufs-util-dev-20161219-r3.apk | 1.2 KiB | 2024-Oct-15 13:05 |
| aufs-util-20161219-r3.apk | 225.3 KiB | 2024-Oct-15 13:05 |
| atool-doc-0.39.0-r4.apk | 9.3 KiB | 2022-Oct-25 13:04 |
| atool-bash-completion-0.39.0-r4.apk | 1.8 KiB | 2022-Oct-25 13:04 |
| atool-0.39.0-r4.apk | 17.5 KiB | 2022-Oct-25 13:04 |
| atomicparsley-20240608-r0.apk | 116.4 KiB | 2024-Jun-11 14:32 |
| atlantik-lang-3.5.10_git20240323-r0.apk | 68.4 KiB | 2024-Mar-25 12:20 |
| atlantik-doc-3.5.10_git20240323-r0.apk | 79.1 KiB | 2024-Mar-25 12:20 |
| atlantik-3.5.10_git20240323-r0.apk | 380.9 KiB | 2024-Mar-25 12:20 |
| atac-0.18.1-r0.apk | 4.7 MiB | 2024-Nov-25 22:11 |
| aspell-es-1.11-r0.apk | 533.0 KiB | 2022-May-22 15:02 |
| asdf-doc-0.18.0-r5.apk | 2.0 KiB | 2025-Dec-24 10:52 |
| asdf-0.18.0-r5.apk | 1.6 MiB | 2025-Dec-24 10:52 |
| armagetronad-doc-0.2.9.1.1-r0.apk | 91.7 KiB | 2024-Mar-03 07:17 |
| armagetronad-0.2.9.1.1-r0.apk | 1.6 MiB | 2024-Mar-03 07:17 |
| arj-doc-0_git20220125-r1.apk | 10.2 KiB | 2022-Oct-14 19:59 |
| arj-0_git20220125-r1.apk | 141.5 KiB | 2022-Oct-14 19:59 |
| arif-doc-0.3.0-r0.apk | 19.1 KiB | 2025-Nov-30 10:18 |
| arif-dev-0.3.0-r0.apk | 3.2 KiB | 2025-Nov-30 10:18 |
| arif-0.3.0-r0.apk | 17.1 KiB | 2025-Nov-30 10:18 |
| argocd-zsh-completion-3.2.0-r1.apk | 3.8 KiB | 2025-Dec-24 10:52 |
| argocd-doc-3.2.0-r1.apk | 5.3 KiB | 2025-Dec-24 10:52 |
| argocd-bash-completion-3.2.0-r1.apk | 21.4 KiB | 2025-Dec-24 10:52 |
| argocd-3.2.0-r1.apk | 40.9 MiB | 2025-Dec-24 10:52 |
| arc-xfwm-20221218-r0.apk | 7.4 KiB | 2023-Jan-08 00:41 |
| arc-theme-20221218-r0.apk | 1.2 KiB | 2023-Jan-08 00:41 |
| arc-metacity-20221218-r0.apk | 17.0 KiB | 2023-Jan-08 00:41 |
| arc-lighter-xfwm-20221218-r0.apk | 7.5 KiB | 2023-Jan-08 00:41 |
| arc-lighter-metacity-20221218-r0.apk | 17.0 KiB | 2023-Jan-08 00:41 |
| arc-lighter-gtk4-20221218-r0.apk | 112.6 KiB | 2023-Jan-08 00:41 |
| arc-lighter-gtk3-20221218-r0.apk | 124.3 KiB | 2023-Jan-08 00:41 |
| arc-lighter-gtk2-20221218-r0.apk | 37.3 KiB | 2023-Jan-08 00:41 |
| arc-lighter-20221218-r0.apk | 1.5 KiB | 2023-Jan-08 00:41 |
| arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2021-Jul-27 08:10 |
| arc-gtk4-20221218-r0.apk | 113.3 KiB | 2023-Jan-08 00:41 |
| arc-gtk3-20221218-r0.apk | 125.6 KiB | 2023-Jan-08 00:41 |
| arc-gtk2-20221218-r0.apk | 37.3 KiB | 2023-Jan-08 00:41 |
| arc-gnome-20221218-r0.apk | 28.4 KiB | 2023-Jan-08 00:41 |
| arc-darker-xfwm-20221218-r0.apk | 7.6 KiB | 2023-Jan-08 00:41 |
| arc-darker-metacity-20221218-r0.apk | 17.2 KiB | 2023-Jan-08 00:41 |
| arc-darker-gtk4-20221218-r0.apk | 109.9 KiB | 2023-Jan-08 00:41 |
| arc-darker-gtk3-20221218-r0.apk | 123.5 KiB | 2023-Jan-08 00:41 |
| arc-darker-gtk2-20221218-r0.apk | 38.2 KiB | 2023-Jan-08 00:41 |
| arc-darker-20221218-r0.apk | 1.5 KiB | 2023-Jan-08 00:41 |
| arc-dark-xfwm-20221218-r0.apk | 7.6 KiB | 2023-Jan-08 00:41 |
| arc-dark-metacity-20221218-r0.apk | 17.2 KiB | 2023-Jan-08 00:41 |
| arc-dark-gtk4-20221218-r0.apk | 86.0 KiB | 2023-Jan-08 00:41 |
| arc-dark-gtk3-20221218-r0.apk | 93.0 KiB | 2023-Jan-08 00:41 |
| arc-dark-gtk2-20221218-r0.apk | 38.1 KiB | 2023-Jan-08 00:41 |
| arc-dark-gnome-20221218-r0.apk | 26.8 KiB | 2023-Jan-08 00:41 |
| arc-dark-cinnamon-20221218-r0.apk | 68.2 KiB | 2023-Jan-08 00:41 |
| arc-dark-20221218-r0.apk | 1.5 KiB | 2023-Jan-08 00:41 |
| arc-cinnamon-20221218-r0.apk | 67.8 KiB | 2023-Jan-08 00:41 |
| arc-20221218-r0.apk | 1.5 KiB | 2023-Jan-08 00:41 |
| aqemu-doc-0.9.4-r3.apk | 7.3 KiB | 2023-Apr-08 10:54 |
| aqemu-0.9.4-r3.apk | 1.6 MiB | 2023-Apr-08 10:54 |
| apx-doc-2.4.5-r5.apk | 2.1 KiB | 2025-Dec-24 10:52 |
| apx-2.4.5-r5.apk | 3.4 MiB | 2025-Dec-24 10:52 |
| apulse-doc-0.1.14-r0.apk | 2.7 KiB | 2025-Sep-26 04:01 |
| apulse-0.1.14-r0.apk | 40.8 KiB | 2025-Sep-26 04:01 |
| aptdec-libs-1.8.0-r1.apk | 14.6 KiB | 2025-Feb-08 23:43 |
| aptdec-dev-1.8.0-r1.apk | 3.2 KiB | 2025-Feb-08 23:43 |
| aptdec-1.8.0-r1.apk | 84.9 KiB | 2025-Feb-08 23:43 |
| apt-swarm-zsh-completion-0.5.1-r1.apk | 5.6 KiB | 2025-Oct-15 12:15 |
| apt-swarm-openrc-0.5.1-r1.apk | 1.7 KiB | 2025-Oct-15 12:15 |
| apt-swarm-fish-completion-0.5.1-r1.apk | 5.5 KiB | 2025-Oct-15 12:15 |
| apt-swarm-bash-completion-0.5.1-r1.apk | 3.9 KiB | 2025-Oct-15 12:15 |
| apt-swarm-0.5.1-r1.apk | 2.9 MiB | 2025-Oct-15 12:15 |
| apt-mirror-doc-0.5.4-r0.apk | 4.4 KiB | 2023-Jan-05 22:23 |
| apt-mirror-0.5.4-r0.apk | 9.2 KiB | 2023-Jan-05 22:23 |
| apt-dater-lang-1.0.4-r4.apk | 12.9 KiB | 2024-Oct-01 05:21 |
| apt-dater-doc-1.0.4-r4.apk | 9.6 KiB | 2024-Oct-01 05:21 |
| apt-dater-1.0.4-r4.apk | 56.7 KiB | 2024-Oct-01 05:21 |
| aprilsh-server-0.7.12-r10.apk | 2.4 MiB | 2025-Dec-24 10:52 |
| aprilsh-openrc-0.7.12-r10.apk | 1.6 KiB | 2025-Dec-24 10:52 |
| aprilsh-doc-0.7.12-r10.apk | 14.1 KiB | 2025-Dec-24 10:52 |
| aprilsh-client-0.7.12-r10.apk | 3.2 MiB | 2025-Dec-24 10:52 |
| aprilsh-0.7.12-r10.apk | 1.4 KiB | 2025-Dec-24 10:52 |
| appcenter-lang-8.0.0-r0.apk | 258.0 KiB | 2024-Nov-12 22:47 |
| appcenter-8.0.0-r0.apk | 397.3 KiB | 2024-Nov-12 22:47 |
| aports-glmr-0.2-r33.apk | 2.5 MiB | 2025-Dec-24 10:52 |
| apk-snap-doc-3.1.1-r0.apk | 19.7 KiB | 2024-Jan-22 15:55 |
| apk-snap-3.1.1-r0.apk | 6.4 KiB | 2024-Jan-22 15:55 |
| apk-autoupdate-doc-0_git20210421-r1.apk | 6.7 KiB | 2024-Nov-17 12:51 |
| apk-autoupdate-0_git20210421-r1.apk | 13.0 KiB | 2024-Nov-17 12:51 |
| apache2-mod-realdoc-1-r1.apk | 4.1 KiB | 2021-Jul-27 08:10 |
| apache2-mod-perl-doc-2.0.13-r2.apk | 303.7 KiB | 2025-Jul-01 13:33 |
| apache2-mod-perl-dev-2.0.13-r2.apk | 37.7 KiB | 2025-Jul-01 13:33 |
| apache2-mod-perl-dbg-2.0.13-r2.apk | 62.3 KiB | 2025-Jul-01 13:33 |
| apache2-mod-perl-2.0.13-r2.apk | 649.8 KiB | 2025-Jul-01 13:33 |
| apache2-mod-maxminddb-1.2.0-r0.apk | 10.6 KiB | 2025-May-19 11:28 |
| apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.0 KiB | 2021-Jul-27 08:10 |
| apache2-mod-authnz-external-3.3.3-r0.apk | 6.9 KiB | 2021-Jul-27 08:10 |
| apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 539.0 KiB | 2025-May-22 08:35 |
| apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4.2 KiB | 2025-May-22 08:35 |
| apache-mod-auth-openidc-2.4.16.11-r1.apk | 219.7 KiB | 2025-May-22 08:35 |
| apache-mod-auth-gssapi-1.6.5-r1.apk | 58.7 KiB | 2023-May-21 12:09 |
| aoetools-doc-37-r2.apk | 13.5 KiB | 2025-Jan-15 04:10 |
| aoetools-37-r2.apk | 21.4 KiB | 2025-Jan-15 04:10 |
| antimicrox-doc-3.5.1-r0.apk | 23.6 KiB | 2025-Jun-13 13:57 |
| antimicrox-3.5.1-r0.apk | 1.6 MiB | 2025-Jun-13 13:57 |
| antibody-6.1.1-r33.apk | 1.8 MiB | 2025-Dec-24 10:52 |
| ansible-bender-pyc-0.10.1-r2.apk | 64.7 KiB | 2024-Apr-30 01:05 |
| ansible-bender-doc-0.10.1-r2.apk | 9.8 KiB | 2024-Apr-30 01:05 |
| ansible-bender-0.10.1-r2.apk | 36.0 KiB | 2024-Apr-30 01:05 |
| angband-4.2.5-r0.apk | 22.7 MiB | 2024-Jan-27 22:43 |
| android-file-transfer-libs-4.5-r0.apk | 132.8 KiB | 2025-Jun-24 23:05 |
| android-file-transfer-dev-4.5-r0.apk | 1.3 KiB | 2025-Jun-24 23:05 |
| android-file-transfer-cli-4.5-r0.apk | 112.1 KiB | 2025-Jun-24 23:05 |
| android-file-transfer-4.5-r0.apk | 193.3 KiB | 2025-Jun-24 23:05 |
| android-build-tools-15.0-r1.apk | 1.8 MiB | 2025-Dec-24 10:52 |
| android-apkeep-0.17.0-r0.apk | 1.8 MiB | 2024-Oct-12 06:29 |
| anari-sdk-static-0.7.2-r0.apk | 94.6 KiB | 2023-Dec-14 16:54 |
| anari-sdk-dev-0.7.2-r0.apk | 56.5 KiB | 2023-Dec-14 16:54 |
| anari-sdk-0.7.2-r0.apk | 187.0 KiB | 2023-Dec-14 16:54 |
| anarch-doc-1.0-r1.apk | 17.7 KiB | 2022-Oct-07 06:49 |
| anarch-1.0-r1.apk | 94.2 KiB | 2022-Oct-07 06:49 |
| amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-Apr-30 01:05 |
| amule-doc-2.3.3-r13.apk | 281.2 KiB | 2024-Apr-30 01:05 |
| amule-2.3.3-r13.apk | 4.1 MiB | 2024-Apr-30 01:05 |
| ampy-pyc-1.1.0-r6.apk | 19.2 KiB | 2025-Mar-19 18:47 |
| ampy-doc-1.1.0-r6.apk | 3.8 KiB | 2025-Mar-19 18:47 |
| ampy-1.1.0-r6.apk | 15.2 KiB | 2025-Mar-19 18:47 |
| amiitool-2-r2.apk | 7.0 KiB | 2024-Apr-30 01:05 |
| amdgpu_top-doc-0.11.0-r0.apk | 3.7 KiB | 2025-Sep-03 01:09 |
| amdgpu_top-0.11.0-r0.apk | 6.9 MiB | 2025-Sep-03 01:09 |
| amdgpu-fan-pyc-0.1.0-r5.apk | 9.4 KiB | 2024-Aug-07 01:50 |
| amdgpu-fan-0.1.0-r5.apk | 13.8 KiB | 2024-Aug-07 01:50 |
| amber-mpris-dev-1.2.9-r0.apk | 6.5 KiB | 2024-Dec-22 17:11 |
| amber-mpris-1.2.9-r0.apk | 203.8 KiB | 2024-Dec-22 17:11 |
| amber-0.4.0_alpha-r0.apk | 531.2 KiB | 2025-Sep-26 04:01 |
| alttab-doc-1.7.1-r0.apk | 10.0 KiB | 2023-Jun-09 22:28 |
| alttab-1.7.1-r0.apk | 37.7 KiB | 2023-Jun-09 22:28 |
| alps-openrc-0_git20230807-r17.apk | 1.8 KiB | 2025-Dec-24 10:52 |
| alps-0_git20230807-r17.apk | 5.5 MiB | 2025-Dec-24 10:52 |
| alpine-lift-0.2.0-r28.apk | 3.6 MiB | 2025-Dec-24 10:52 |
| alertmanager-irc-relay-openrc-0.5.1-r1.apk | 1.7 KiB | 2025-Sep-26 04:01 |
| alertmanager-irc-relay-0.5.1-r1.apk | 4.7 MiB | 2025-Sep-26 04:01 |
| alacritty-graphics-zsh-completion-0.16.1-r0.apk | 3.1 KiB | 2025-Dec-24 10:52 |
| alacritty-graphics-fish-completion-0.16.1-r0.apk | 2.8 KiB | 2025-Dec-24 10:52 |
| alacritty-graphics-doc-0.16.1-r0.apk | 40.8 KiB | 2025-Dec-24 10:52 |
| alacritty-graphics-bash-completion-0.16.1-r0.apk | 2.5 KiB | 2025-Dec-24 10:52 |
| alacritty-graphics-0.16.1-r0.apk | 2.2 MiB | 2025-Dec-24 10:52 |
| aide-doc-0.19.2-r0.apk | 15.3 KiB | 2025-Sep-26 04:01 |
| aide-0.19.2-r0.apk | 82.9 KiB | 2025-Sep-26 04:01 |
| agrep-doc-0.8.0-r2.apk | 3.9 KiB | 2023-May-21 12:09 |
| agrep-0.8.0-r2.apk | 7.7 KiB | 2023-May-21 12:09 |
| aggregate6-pyc-1.0.14-r0.apk | 5.5 KiB | 2025-Oct-15 12:15 |
| aggregate6-doc-1.0.14-r0.apk | 2.7 KiB | 2025-Oct-15 12:15 |
| aggregate6-1.0.14-r0.apk | 7.0 KiB | 2025-Oct-15 12:15 |
| agate-openrc-3.3.19-r0.apk | 1.7 KiB | 2025-Sep-28 04:37 |
| agate-3.3.19-r0.apk | 729.7 KiB | 2025-Sep-28 04:37 |
| afew-doc-3.0.1-r0.apk | 12.1 KiB | 2025-May-18 23:11 |
| afew-3.0.1-r0.apk | 72.9 KiB | 2025-May-18 23:11 |
| afetch-doc-2.2.0-r1.apk | 13.3 KiB | 2022-Oct-25 13:04 |
| afetch-2.2.0-r1.apk | 7.7 KiB | 2022-Oct-25 13:04 |
| advancescan-doc-1.18-r1.apk | 7.0 KiB | 2022-Oct-25 13:04 |
| advancescan-1.18-r1.apk | 256.5 KiB | 2022-Oct-25 13:04 |
| advancemame-mess-3.9-r4.apk | 3.3 MiB | 2023-Mar-15 22:18 |
| advancemame-menu-3.9-r4.apk | 741.8 KiB | 2023-Mar-15 22:18 |
| advancemame-doc-3.9-r4.apk | 373.3 KiB | 2023-Mar-15 22:18 |
| advancemame-data-3.9-r4.apk | 5.8 MiB | 2023-Mar-15 22:18 |
| advancemame-3.9-r4.apk | 11.5 MiB | 2023-Mar-15 22:18 |
| admesh-doc-0.98.5-r0.apk | 23.2 KiB | 2022-Dec-05 10:15 |
| admesh-dev-0.98.5-r0.apk | 3.8 KiB | 2022-Dec-05 10:15 |
| admesh-0.98.5-r0.apk | 23.8 KiB | 2022-Dec-05 10:15 |
| adjtimex-doc-1.29-r0.apk | 7.1 KiB | 2021-Dec-31 21:27 |
| adjtimex-1.29-r0.apk | 17.2 KiB | 2021-Dec-31 21:27 |
| adguardhome-openrc-0.107.71-r0.apk | 1.9 KiB | 2025-Dec-24 10:52 |
| adguardhome-0.107.71-r0.apk | 11.0 MiB | 2025-Dec-24 10:52 |
| acmetool-doc-0.2.2-r18.apk | 46.4 KiB | 2025-Dec-24 10:52 |
| acmetool-0.2.2-r18.apk | 4.4 MiB | 2025-Dec-24 10:52 |
| acmeleaf-doc-0.2.0-r1.apk | 5.0 KiB | 2025-Dec-24 10:52 |
| acmeleaf-0.2.0-r1.apk | 4.9 MiB | 2025-Dec-24 10:52 |
| ace-of-penguins-doc-1.4-r3.apk | 48.3 KiB | 2024-Sep-15 04:41 |
| ace-of-penguins-1.4-r3.apk | 160.2 KiB | 2024-Sep-15 04:41 |
| abnfgen-doc-0.21-r0.apk | 4.8 KiB | 2025-May-27 21:28 |
| abnfgen-0.21-r0.apk | 17.4 KiB | 2025-May-27 21:28 |
| abc-0_git20240102-r0.apk | 5.1 MiB | 2024-Jan-19 00:24 |
| ab-tidy-0.1.0-r2.apk | 92.5 KiB | 2025-Feb-24 01:11 |
| a2jmidid-doc-9-r3.apk | 3.9 KiB | 2022-Oct-07 06:48 |
| a2jmidid-9-r3.apk | 25.0 KiB | 2022-Oct-07 06:48 |
| APKINDEX.tar.gz | 660.6 KiB | 2025-Dec-25 00:04 |
| 9base-troff-6-r2.apk | 500.8 KiB | 2024-Oct-02 07:08 |
| 9base-doc-6-r2.apk | 63.5 KiB | 2024-Oct-02 07:08 |
| 9base-6-r2.apk | 2.2 MiB | 2024-Oct-02 07:08 |
| 66-tools-nsrules-0.1.2.0-r0.apk | 2.6 KiB | 2025-Jun-01 13:15 |
| 66-tools-doc-0.1.2.0-r0.apk | 39.1 KiB | 2025-Jun-01 13:15 |
| 66-tools-dev-0.1.2.0-r0.apk | 1.7 KiB | 2025-Jun-01 13:15 |
| 66-tools-dbg-0.1.2.0-r0.apk | 109.4 KiB | 2025-Jun-01 13:15 |
| 66-tools-0.1.2.0-r0.apk | 57.1 KiB | 2025-Jun-01 13:15 |
| 66-init-0.8.2.1-r0.apk | 1.3 KiB | 2025-Jun-03 19:12 |
| 66-doc-0.8.2.1-r0.apk | 190.1 KiB | 2025-Jun-03 19:12 |
| 66-dev-0.8.2.1-r0.apk | 3.4 MiB | 2025-Jun-03 19:12 |
| 66-dbg-0.8.2.1-r0.apk | 772.0 KiB | 2025-Jun-03 19:12 |
| 66-0.8.2.1-r0.apk | 304.9 KiB | 2025-Jun-03 19:12 |
| 3proxy-systemd-0.9.5-r1.apk | 1.6 KiB | 2025-Nov-17 14:57 |
| 3proxy-openrc-0.9.5-r1.apk | 1.4 KiB | 2025-Nov-17 14:57 |
| 3proxy-doc-0.9.5-r1.apk | 26.1 KiB | 2025-Nov-17 14:57 |
| 3proxy-0.9.5-r1.apk | 372.6 KiB | 2025-Nov-17 14:57 |